Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bill Banke is active.

Publication


Featured researches published by Bill Banke.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control

Matthew Sendelbach; Wesley C. Natzle; Charles N. Archie; Bill Banke; Dan Prager; Dan Engelhard; Jason Ferns; Asao Yamashita; Merritt Funk; Fumihiko Higuchi; Masayuki Tomoyasu

As feature geometries decrease, the budgeted error for process variations decreases as well. Keeping these variations within budget is especially important in the area of gate linewidth control. Because of this, wafer-to-wafer control of gate linewidth becomes increasingly necessary. This paper shows results from 300 mm wafers with 90 nm technology that were trimmed during the gate formation process on an etch platform. After the process that opened the gate hard mask and stripped the resist, the wafers were measured using both an integrated scatterometer and a stand-alone CD-SEM. The measurements were then used to determine the appropriate amount to be trimmed by the Chemical Oxide Removal (COR) chamber that is also integrated onto the etch system. After the wafers were trimmed and etched, they were again measured on the integrated scatterometer and stand-alone CD-SEM. With the CD-SEM as the Reference Measurement System (RMS), Total Measurement Uncertainty (TMU) analysis was used to optimize the Optical Digital Profilometry (ODP) model, thus facilitating a significant reduction in gate linewidth variation. Because the measurement uncertainty of the scatterometer was reduced to a level approaching or below that of the RMS, an improvement to TMU analysis was developed. This improvement quantifies methods for determining the measurement uncertainty of the RMS under a variety of situations.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis

Matthew Sendelbach; Charles N. Archie; Bill Banke; Jason Mayer; Hideaki Nii; Pedro Herrera; Matt Hankinson

Currently, CD-SEMs are the tool of choice for in-line gate length measurements for most semiconductor manufacturers. This is in large part due to their flexibility, throughput, and ability to correlate well to physical measurements (e.g., XSEM). However, scatterometry is being used by an increasing number of manufacturers to monitor and control gate lengths. But can a scatterometer measure such small critical dimensions well enough? This paper explores this question by analyzing data taken from wafers processed using 90 nm node technology. These wafers were measured after gate formation (gate final CD) using a CD-SEM as well as a scatterometer. They were then processed into the back-end-of-line and measured electrically. This electrical measurement, called Lpoly, is an important parametric device measurement and is used to screen product before it reaches final electrical test. It is therefore critical for the in-line metrology immediately after gate formation to have excellent correlation to Lpoly. Analysis shows that the scatterometer correlates well to both in-line CD-SEM measurements across multiple structures as well as electrical Lpoly measurements. More importantly, the scatterometer is shown to be approximately equivalent to the CD-SEM when both are correlated to Lpoly. Since several scatterometry targets with different pitches were measured, the amount of correlation as a function of pitch is also investigated. Because traditional methods of correlation, such as Ordinary Least Squares (OLS), have severe limitations, Total Measurement Uncertainty (TMU) analysis is used as a highly effective assessment methodology. This paper also shows how TMU analysis is used to improve the scatterometry model and understand the relative contributions from obstacles that hinder the achievement of even better correlations.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

Bill Banke; Charles N. Archie; Matthew Sendelbach; Jim Robert; James A. Slinkman; Phil Kaszuba; Rick Kontra; Mick DeVries; Eric P. Solecky

Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will bring together formerly unlinked technology fields requiring new measurement science. The emphasis on accuracy will increase the importance and role of NIST and similar metrology organizations in supporting the semiconductor industry in this effort.


Proceedings of SPIE | 2008

Impact of Sampling on Uncertainty : Semiconductor Dimensional Metrology Applications

Benjamin Bunday; Bart Rijpers; Bill Banke; Chas Archie; Ingrid B. Peterson; Vladimir Ukraintsev; Thomas Hingst; Masafumi Asano

The International Technology Roadmap for Semiconductors (ITRS) provides a set of Metrology specifications as targets for each technology node. In the current edition (2007) of the ITRS the conventional precision (reproducibility) is replaced with a new metric - measurement uncertainty for dimensional metrology. This measurement uncertainty contains single tool precision, tool-to-tool matching, sampling uncertainty, and inaccuracy (sample-to-sample bias variation and other effects). Clearly, sampling uncertainty is a major component of measurement uncertainty. This paper elaborates on sampling uncertainty and provides statistical estimates for sampling uncertainty. The authors in this paper address the importance and the methods of proper sampling. The correct sampling captures and allows for the expression of the information needed for adequate patterning process control. Along with typical manufacturing process control cases (excursion control, advanced and statistical process control), several other applications are explored such as optical and electron beam line width measurement calibration, measurement tool evaluations, lithographic scanner assessment and optical proximity correction implementation. The authors show how appropriate choices among measurement techniques, sampling methods, and interpretation of measurement results give meaningful information for process control and demonstrate how an incorrect choice can lead to wrong conclusions.


Journal of Micro-nanolithography Mems and Moems | 2012

Review of reference metrology for nanotechnology: significance, challenges, and solutions

Vladimir A. Ukraintsev; Bill Banke

Metrology and control of critical dimensions (CDs) are key to the success of nanotechnology. Modern nanotechnology and nanometrology are largely based on knowledge developed during the last 10 to 20 years of semiconductor manufacturing. Semiconductor CD metrology entered the nanotechnology age in the late 1990s. Work on 130-nm- and 90-nm-node technologies led to the conclusion that precision alone is an insufficient metric for the quality assessment of metrology. Other components of measurement uncertainty (MU) must also be considered: 1. sample-to-sample measurement bias variation, 2. sampling uncertainty, and 3. sample variation induced by the probe-sample interaction. The first one (sample-dependent systematic error) is common for indirect and model-based CD metrologies such as top-down and cross-sectional scanning electron microscopy (SEM) and scatterometry (OCD). Unless special measures are taken, bias variation of CDSEM and OCD could exceed several nanometers. Variation of bias and therefore MU can be assessed only if reference metrology (RM) is employed. The choice of RM tools is very limited. The CD atomic force microscope (AFM) is one of a few available RM tools. The CDAFM provides subnanometer MU for a number of nanometrology applications. Significant challenges of CDAFM remain, such as the following: 1. the finite dimensions of the probe are limiting characterization of narrow high-aspect spaces, 2. the flexibility of the probe complicates positioning control, 3. the probe apex sharpness limits 3D AFM resolution, 4. the lifetime of atomically sharp probes is too short, and 5. adsorbates change properties and dimensions of nanometer-sized objects considerably. We believe that solutions for the problems exist; therefore, we will discuss the role of RM in nanometrology, current RM choices, and the challenges of CDAFM as well as suggest some potential solutions.


Proceedings of SPIE | 2009

The measurement uncertainty challenge of advanced patterning development

Narender Rana; Chas Archie; Wei Lu; Bill Banke

The trend of reducing the feature size in ICs requires tightening control of critical dimension (CD) variability for optimal device performance. This drives a need to be able to accurately characterize the variability in order to have reliable metrics to drive improvement in development. Variation in CDs can come from various sectors such as mask, OPC, litho & Etch. Metrology is involved in all sectors and it is important to understand the accuracy limitations in metrology contributing to CD variability. Inaccuracy of the CD-SEM algorithm arising from profile variations is one example. Profile variation can result from process and design variation. Total Measurement Uncertainty (TMU) is a metric dependent on the precision of tool under test (CD-SEM here) and relative accuracy, and can track the accuracy of CD measurements in the presence of varying profiles. This study explores metrology limitations to capture the design and process contributions to the CD variation at the post litho step. In this paper lithography scanner focus-exposure matrix wafer was used to capture the process variation. CD and profile data is taken from varying focus fields. The sample plan described in this paper also covers the design variation by including nested features and isolated features of various sizes. Appropriate averaging methodology has been adopted in an attempt to decouple the process and design related CD variation to TMU. While the tool precision can be suppressed by sufficient averaging, the relative accuracy cannot. This relative accuracy is affected by the complex CD-SEM probe to sample interactions and sensitivity of CD-SEM algorithms to different feature profiles. One consequence of this is the average offsets between physical CDs (CDAFM) and SEM CDs change significantly with the defocus. TMU worsens as the focus range is increased from nominal focus. This paper explores why this is so and also discusses the challenges for the CD-AFM to accurately measure complex and varying profiles. There is a discussion of the implications of this study for the production measurement uncertainty, OPC calibration measurement at process of record conditions, and for process window OPC. Results for optimizing the CD-SEM algorithm to achieve superior accuracy across both design and process induced variation will also be presented.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.


Metrology, inspection, and process control for microlithography. Conference | 2006

Metrology tool fleet management : Applying FMP tool matching and monitoring concepts to an overlay fleet

J. Morningstar; Eric P. Solecky; Chas Archie; Bill Banke

Overlay tool matching and accuracy issues are quickly reaching a comparable complexity to that of critical dimensional metrology. While both issues warrant serious investigation, this paper deals with the matching issues associated with overlay tools. Overlay tools need to run and measure as if they are a single tool - they need to act as one. In this paper a matching methodology is used to assess a set of overlay tools in a multiple of overlay applications. The methodology proposed in a prior2 SPIE paper is applied here to a fleet of two generations of overlay tools to detect measurement problems not seen with convention Statistical Process Control techniques. Four studies were used to examine the benefits of this matching methodology for this fleet of overlay tools. The first study was a matching assessment study. The second study was a hardware comparison between generations of tools. The third study was a measurement strategy comparison. The final study was a long term matching exercise where one example of a traditional long term monitoring strategy was compared to a new long term monitoring strategy. It is shown that this new tool matching method can be effectively applied to overlay metrology.


Proceedings of SPIE | 2007

Leveraging LER to minimize linewidth measurement uncertainty in a calibration exercise

James Robert; Bill Banke; Ronald G. Dixson

Many semiconductor metrologists are aware that line edge roughness (LER), and thus linewidth variation (LWV), can be a significant contributor to measurement uncertainty. More generally, the impact of measurand variation and proper sampling is becoming a major player in nearly every area of semiconductor metrology. This paper describes a simple technique of using the LWV of a feature as a fingerprint to uniquely characterize the measurement target in such a way to make the LER contribution negligible in a linewidth calibration exercise. A single crystal critical dimension reference material (SCCDRM) was the calibration artifact used to calibrate the tip width of a critical dimension atomic force microscope (CD-AFM). These samples were released by the National Institute of Standards and Technology (NIST) to SEMATECH member companies in 2004. The specific SCCDRM used for this work had six calibrated linewidths ranging from 100 nm to 270 nm. Our paper shows in detail the overlay of the CD-AFM linewidth data with that of the data used to calibrate the SCCDRM for each linewidth. With the aid of this linewidth fingerprinting, Mandel regression is used to assess the quality of correlation of the CD-AFM to that of the NIST-derived calibration data. An uncertainty budget is presented as a conclusion of the tip width calibration exercise. A combined expanded uncertainty of less than 2 nm with a k = 3 coverage factor is achieved.


Metrology, inspection, and process control for microlithography. Conference | 2005

New comprehensive metrics and methodology for metrology tool fleet matching

Eric P. Solecky; Chas Archie; Bill Banke

Researchain Logo
Decentralizing Knowledge