Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chas Archie is active.

Publication


Featured researches published by Chas Archie.


Proceedings of SPIE | 2008

Impact of Sampling on Uncertainty : Semiconductor Dimensional Metrology Applications

Benjamin Bunday; Bart Rijpers; Bill Banke; Chas Archie; Ingrid B. Peterson; Vladimir Ukraintsev; Thomas Hingst; Masafumi Asano

The International Technology Roadmap for Semiconductors (ITRS) provides a set of Metrology specifications as targets for each technology node. In the current edition (2007) of the ITRS the conventional precision (reproducibility) is replaced with a new metric - measurement uncertainty for dimensional metrology. This measurement uncertainty contains single tool precision, tool-to-tool matching, sampling uncertainty, and inaccuracy (sample-to-sample bias variation and other effects). Clearly, sampling uncertainty is a major component of measurement uncertainty. This paper elaborates on sampling uncertainty and provides statistical estimates for sampling uncertainty. The authors in this paper address the importance and the methods of proper sampling. The correct sampling captures and allows for the expression of the information needed for adequate patterning process control. Along with typical manufacturing process control cases (excursion control, advanced and statistical process control), several other applications are explored such as optical and electron beam line width measurement calibration, measurement tool evaluations, lithographic scanner assessment and optical proximity correction implementation. The authors show how appropriate choices among measurement techniques, sampling methods, and interpretation of measurement results give meaningful information for process control and demonstrate how an incorrect choice can lead to wrong conclusions.


Proceedings of SPIE | 2009

The measurement uncertainty challenge of advanced patterning development

Narender Rana; Chas Archie; Wei Lu; Bill Banke

The trend of reducing the feature size in ICs requires tightening control of critical dimension (CD) variability for optimal device performance. This drives a need to be able to accurately characterize the variability in order to have reliable metrics to drive improvement in development. Variation in CDs can come from various sectors such as mask, OPC, litho & Etch. Metrology is involved in all sectors and it is important to understand the accuracy limitations in metrology contributing to CD variability. Inaccuracy of the CD-SEM algorithm arising from profile variations is one example. Profile variation can result from process and design variation. Total Measurement Uncertainty (TMU) is a metric dependent on the precision of tool under test (CD-SEM here) and relative accuracy, and can track the accuracy of CD measurements in the presence of varying profiles. This study explores metrology limitations to capture the design and process contributions to the CD variation at the post litho step. In this paper lithography scanner focus-exposure matrix wafer was used to capture the process variation. CD and profile data is taken from varying focus fields. The sample plan described in this paper also covers the design variation by including nested features and isolated features of various sizes. Appropriate averaging methodology has been adopted in an attempt to decouple the process and design related CD variation to TMU. While the tool precision can be suppressed by sufficient averaging, the relative accuracy cannot. This relative accuracy is affected by the complex CD-SEM probe to sample interactions and sensitivity of CD-SEM algorithms to different feature profiles. One consequence of this is the average offsets between physical CDs (CDAFM) and SEM CDs change significantly with the defocus. TMU worsens as the focus range is increased from nominal focus. This paper explores why this is so and also discusses the challenges for the CD-AFM to accurately measure complex and varying profiles. There is a discussion of the implications of this study for the production measurement uncertainty, OPC calibration measurement at process of record conditions, and for process window OPC. Results for optimizing the CD-SEM algorithm to achieve superior accuracy across both design and process induced variation will also be presented.


Ibm Journal of Research and Development | 1993

Performance of the IBM synchrotron X-ray source for lithography

Chas Archie

The compact superconducting synchrotron X-ray source at the IBM Advanced Lithography Facility in East Fishkill, New York has been in service to customers since the start of 1992. Its availability during scheduled time is greater than 90%, with recent months frequently surpassing 95%. Data on the long-term behavior of the X-ray source properties and subsystem performance are now available. The full system continues to meet all specifications and even to surpass them in key areas. Measured electron beam properties such as beam size, short- and long-term positional stability, and beam lifetime are presented. Lifetimes greater than 20 hours for typical stored beams have significantly simplified operations and increased availability compared to projections. This paper also describes some unique features of this X-ray source and goes beyond a discussion of downtime to describe the efforts behind the scenes to maintain and operate it.


Proceedings of SPIE | 2010

Hybrid reference metrology exploiting patterning simulation

Narender Rana; Chas Archie

Workhorse metrology such as CD-SEM is used during process development, process control, and optical proximity correction model generation and verification. Such metrology needs to be calibrated to handle various types of profiles encountered during IC fabrication. Reference metrology is used for calibration of workhorse metrology. There is an astounding need for sub-half and sub-quarter nanometer measurement uncertainty in the near future technology nodes as envisaged in the International Technology Roadmap for Semiconductors. In this regime of desired measurement uncertainty all metrology techniques are deemed limited and hybrid metrology appears promising to offer a solution. Hybrid metrology is the use of multiple metrology techniques, each with particular strength, to reduce the overall measurement uncertainty. CD-AFM makes use of a flared probe in order to scan the sidewalls and bottom of the pattern on a wafer to provide 3D profile and CD measurements at desired location on the profile. As the CD shrinks with technology nodes especially the space, the size of the AFM probe also needs to shrink while maintaining the flared geometry specifications. Unfortunately the fabrication of such probes is a challenge and new techniques are required to extend reference metrology to the smallest space and hole of interest. This paper proposes a reference system combining CD-AFM and patterning simulation model. This hybrid metrology system enables CD metrology in a space not measurable directly by conventional CD-AFM probe. The key idea is to use the successfully measured profile and CD information from the CD-AFM to calibrate or train the patterning simulation optical and resist model. Ability of this model to predict profile and CD measurement is verified on a physically measured dataset including cross sections and additional CD-AFM measurements. It is hypothesized that this model will be able to predict profile and CD measurements in otherwise immeasurable geometries. Being based on optics and materials fundamentals, this approach is presumed to be more accurate compared to mere extrapolation approach in use today. We report on the measurement uncertainty improvement with this approach. Situations with highest prediction confidence involve CD-AFM scanning resulting in partial information. For example, using carbon nanotube probes or CDP where there is little flaring of the tip, the CD-AFM cannot detect significant undercutting of the structure. Achieving agreement with the calibrated patterning model for measurement metrics such as height, top and middle CD permits the prediction of the bottom CD to be used as an authentic reference measurement.


Proceedings of SPIE | 2010

Concerning the influence of pattern symmetry on CD-SEM local overlay measurements for double patterning of complex shapes

Shoji Hotta; Takumichi Sutani; Akiyuki Sugiyama; Masahiko Ikeno; Atsuko Yamaguchi; Kazuyoshi Torii; Scott Halle; Daniel Joseph Moore; Chas Archie

We have developed a new local overlay measurement technique on actual device patterns using critical dimension scanning electron microscope (CD-SEM), which can be applied to 2D device structures such as an SRAM contact hole array or more complex shapes. CD-SEM overlay measurement can provide additional local overlay information at the site of device patterns, complementary to the conventional optical overlay data. The methodology includes the use of symmetrically arranged patterns to cancel out many process effects and reduce measurement uncertainty. The developed methodology was applied to local overlay measurement of double patterning contact hole layers of leading edge devices. Local overlay distribution was successfully captured on device structures on different length scale, and the result shows the possibility of assessing process induced shift on device structures and collecting denser sampling for better intra-chip overlay control. The measurement uncertainty of CD-SEM overlay metrology was assessed by comparing with conventional optical overlay metrology for 1D and 2D structures. Very good correlation was confirmed between SEM and optical overlay metrology with net residual error of ~1.1nm. Measurement variation associated with pattern roughness was analyzed for 1D structure, and identified as one of major variation sources for CD-SEM overlay metrology.


Proceedings of SPIE | 2014

Impact of shrinking measurement error budgets on qualification metrology sampling and cost

Matthew Sendelbach; Niv Sarig; Koichi Wakamoto; Hyang Kyun Helen Kim; Paul Isbester; Masafumi Asano; Kazuto Matsuki; Alok Vaid; Carmen Osorio; Chas Archie

When designing an experiment to assess the accuracy of a tool as compared to a reference tool, semiconductor metrologists are often confronted with the situation that they must decide on the sampling strategy before the measurements begin. This decision is usually based largely on the previous experience of the metrologist and the available resources, and not on the statistics that are needed to achieve acceptable confidence limits on the final result. This paper shows a solution to this problem, called inverse TMU analysis, by presenting statistically-based equations that allow the user to estimate the needed sampling after providing appropriate inputs, allowing him to make important “risk vs. reward” sampling, cost, and equipment decisions. Application examples using experimental data from scatterometry and critical dimension scanning electron microscope (CD-SEM) tools are used first to demonstrate how the inverse TMU analysis methodology can be used to make intelligent sampling decisions before the start of the experiment, and then to reveal why low sampling can lead to unstable and misleading results. A model is developed that can help an experimenter minimize the costs associated both with increased sampling and with making wrong decisions caused by insufficient sampling. A second cost model is described that reveals the inadequacy of current TEM (Transmission Electron Microscopy) sampling practices and the enormous costs associated with TEM sampling that is needed to provide reasonable levels of certainty in the result. These high costs reach into the tens of millions of dollars for TEM reference metrology as the measurement error budgets reach angstrom levels. The paper concludes with strategies on how to manage and mitigate these costs.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.


Journal of Micro-nanolithography Mems and Moems | 2011

Critical dimension scanning electron microscope local overlay measurement and its application for double patterning of complex shapes

Shoji Hotta; Takumichi Sutani; Scott Halle; Daniel Joseph Moore; Chas Archie; Akiyuki Sugiyama; Masahiko Ikeno; Atsuko Yamaguchi; Kazuyoshi Torii

We have developed a new local overlay measurement technique on actual device patterns using a critical dimension scanning electron microscope (CD-SEM), which can be applied to two-dimensional (2D) device structures such as a static random access memory contact hole array. CD-SEM overlay measurement can provide additional local overlay information at the site of device patterns, complementary to the optical overlay. The methodology includes the use of pattern symmetry to cancel out many process effects and reduce measurement uncertainty. CD-SEM overlay metrology was compared with conventional optical overlay metrology in terms of measurement uncertainty and overlay model analysis, and very good correlation was confirmed. The developed methodology was applied to local overlay measurement of double patterning contact hole layers of leading edge devices. The local overlay distribution was obtained across the device area, and spatial correlation of the overlay error vectors was examined over a large range of distances. The applications of CD-SEM overlay metrology were explored, and methodologies were introduced to examine both the overlay of double patterning contacts at the edge of an array and lithographic process-induced overlay shift of contacts. Finally, a hybrid optical CD-SEM overlay metrology was introduced in order to capture a high order, device weighted overlay response.


Proceedings of SPIE | 2010

CDSEM focus/dose monitor for product applications

Chas Archie; Eric P. Solecky; Pawan Rawat; Timothy A. Brunner; Kenji Yoshimoto; Roger Cornell; Ofer Adan

Advanced 193 nm lithographic processes will require defocus control for product wafers in order to meet CD and profile requirements in the future. Dose control is already required. The interaction of product wafer materials with lithography requires additional controls beyond tool monitoring. While scatterometry has demonstrated excellent ability to extract effective defocus and dose information from monitor wafers, the addition of product film stacks introduces several issues for this technique. The additional complexity of model generation and the sensitivity to under-layer thickness and optical property variation are among these. A CDSEM technique for lithography focus monitoring overcomes these issues provided it has sufficient precision and relative accuracy. In this paper, we report on comparative studies of two CDSEM techniques. One technique uses angled e-beam to better view the sidewall for edge width measurement. The angle of the beam from normal incidence is considerably larger than previously explored thereby enabling sensitive measurements on shallower structures. The other technique introduces new target designs particularly suited to CDSEM measurement that have enhanced sensitivity to focus and dose. Implementation of these techniques requires expanded sampling during the course of a single measurement in order to suppress roughness. The small target size of these structures enables applications with targets in product kerf and embedded within the circuit. In summary, these methods enable the measurement of dose and focus variations on product wafers.


Metrology, inspection, and process control for microlithography. Conference | 2006

Metrology tool fleet management : Applying FMP tool matching and monitoring concepts to an overlay fleet

J. Morningstar; Eric P. Solecky; Chas Archie; Bill Banke

Overlay tool matching and accuracy issues are quickly reaching a comparable complexity to that of critical dimensional metrology. While both issues warrant serious investigation, this paper deals with the matching issues associated with overlay tools. Overlay tools need to run and measure as if they are a single tool - they need to act as one. In this paper a matching methodology is used to assess a set of overlay tools in a multiple of overlay applications. The methodology proposed in a prior2 SPIE paper is applied here to a fleet of two generations of overlay tools to detect measurement problems not seen with convention Statistical Process Control techniques. Four studies were used to examine the benefits of this matching methodology for this fleet of overlay tools. The first study was a matching assessment study. The second study was a hardware comparison between generations of tools. The third study was a measurement strategy comparison. The final study was a long term matching exercise where one example of a traditional long term monitoring strategy was compared to a new long term monitoring strategy. It is shown that this new tool matching method can be effectively applied to overlay metrology.

Researchain Logo
Decentralizing Knowledge