Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Erik Verduijn is active.

Publication


Featured researches published by Erik Verduijn.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Sudharshanan Raghunathan; Obert Wood; Pawitter Mangat; Erik Verduijn; Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Kenneth A. Goldberg; Markus P. Benk; Patrick A. Kearney; Zachary Levinson; Bruce W. Smith

Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECHs second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optim...


Proceedings of SPIE | 2016

EUV patterning successes and frontiers

Nelson Felix; Dan Corliss; Karen Petrillo; Nicole Saulnier; Yongan Xu; Luciana Meli; Hao Tang; Anuja De Silva; Bassem Hamieh; Martin Burkhardt; Yann Mignot; Richard Johnson; Christopher F. Robinson; Mary Breton; Indira Seshadri; Derren Dunn; Stuart A. Sieg; Eric R. Miller; Genevieve Beique; Andre Labonte; Lei Sun; Geng Han; Erik Verduijn; Eunshoo Han; Bong Cheol Kim; Jongsu Kim; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer

The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.


Photomask Technology 2014 | 2014

Imaging impact of multilayer tuning in EUV masks, experimental validation

Vicky Philipsen; Eric Hendrickx; Erik Verduijn; Sudhar Raghunathan; Obert Wood; Victor Soltwisch; Frank Scholze; Natalia Davydova; Pawitter Mangat

This paper reports on the experimental validation of adapting the multilayer periodicity of an EUV mask to mitigate pattern shifts at wafer level. This EUV specific pattern shift will eventually contribute to overlay budgets which continue to tighten with decreasing technology node. A good understanding of its manipulators, i.e., mask 3D effects, is paramount. By means of mask diffractometry and scanner exposures at numerical aperture of 0.33 the mask-induced pattern shift at wafer level is verified. These measurements are then correlated to rigorous simulations using a calibrated EUV mask stack model to accurately predict the imaging impact of multilayer tuning in EUV masks. A comprehensive interpretation of the mask 3D impact on pattern shift at wafer level will be presented based on simulated diffraction pupils supported by experimental verification at both mask and wafer level.


Proceedings of SPIE | 2016

Comparison of left and right side line edge roughness in lithography

Lei Sun; Nicole Saulnier; Genevieve Beique; Erik Verduijn; Wenhui Wang; Yongan Xu; Hao Tang; Yulu Chen; Ryoung-Han Kim; John C. Arnold; Nelson Felix; Matthew E. Colburn

The left side and right side line edge roughnesses (LER) of a line are compared for different conditions, such as through pitch, through critical dimension (CD), from horizontal to vertical line direction, from litho to etch. The investigation shows that the left and right side LER from lithography process are the same, however, the metrology can cause a 4-25% increase in the measured right side LER. The LER difference is related to the CDSEM e-beam scan direction.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

Mask blank defect printability comparison using optical and SEM mask and wafer inspection and bright field actinic mask imaging

Pawitter Mangat; Erik Verduijn; Obert Wood; Markus P. Benk; Antoine Wojdyla; Kenneth A. Goldberg

Despite significant enhancements in defect detection using optical and e-beam methodology, the smaller length scales and increasing challenges of future technology nodes motivate ongoing research into the need and associated cost of actinic inspection for EUV masks. This paper reports an extensive study of two EUV patterned masks, wherein the mask blank defectivity was characterized using optical (mask and wafer) methods and bright-field mask imaging (using the SHARP actinic microscope) of previously identified blank defects. We find that the bright field actinic imaging tool microscope captures and images many defects that are not seen by the automated optical inspection of patterned masks and printed wafers. In addition, actinic review reveals the impact of multilayer damage and depicts the printability profile which can be used as an added metric to define the patterned mask repair and defect compensation strategies.


Journal of Micro-nanolithography Mems and Moems | 2016

Measurement of EUV lithography pupil amplitude and phase variation via image-based methodology

Zachary Levinson; Erik Verduijn; Obert Wood; Pawitter Mangat; Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Bruce W. Smith

Abstract. An approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function is presented. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We seek to examine the behavior of pupil amplitude variation in real-optical systems. Optimized target images were captured under several conditions to fit the resulting pupil responses. Both the amplitude and phase components of the pupil function were extracted from a zone-plate-based EUV mask microscope. The pupil amplitude variation was expanded in three different bases: Zernike polynomials, Legendre polynomials, and Hermite polynomials. It was found that the Zernike polynomials describe pupil amplitude variation most effectively of the three.


SPIE Photomask Technology | 2015

EUV actinic brightfield mask microscopy for predicting printed defect images

Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Erik Verduijn; Obert Wood; Pawitter Mangat

Improving our collective understanding of extreme ultraviolet (EUV) photomask defects and the imaging properties of available defect imaging tools is essential for improving EUV mask defectivity, defect repair and mitigation, and for high-level strategic decision-making. In this work, we perform a qualitative comparison of twenty-five defects imaged with mask scanning electron microscopy (SEM), EUV actinic mask imaging, and wafer SEM imaging. All but two of the defect locations were first identified by non-actinic mask blank inspection, prior to patterning. The others were identified as repeating defects on the wafer. We find that actinic defect imaging is predictive of the wafer prints, with small-scale features clearly replicated. While some mask defect SEM images match the wafer prints, others print with a larger outline indicating the presence of sub-surface disruptions hidden from the SEM’s view. Fourteen other defects were subjected to an aerial image phase measurement method called Fourier Ptychography (FP). Although phase shifts were observed in the larger defects, the smaller defects in the dataset showed no significant phase shifting. We attribute this discrepancy to non-actinic mask blank inspection’s limited ability to detect small phase defects under normal operating conditions.


Proceedings of SPIE | 2015

A method of image-based aberration metrology for EUVL tools

Zac Levinson; Sudharshanan Raghunathan; Erik Verduijn; Obert Wood; Pawitter Mangat; Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Vicky Philipsen; Eric Hendrickx; Bruce W. Smith

We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope.


Proceedings of SPIE | 2015

Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks

Obert Wood; Sudharshanan Raghunathan; Pawitter Mangat; Vicky Philipsen; Vu Luong; Patrick Kearney; Erik Verduijn; Aditya Kumar; Suraj K. Patil; Christian Laubis; Victor Soltwisch; Frank Scholze

In this paper we compare the imaging performance of several options currently under consideration for use in 0.33 and higher numerical aperture (NA) extreme ultraviolet (EUV) mask stacks, Mo/Si ML reflective coatings with 40 bilayers, Ru/Si multilayer (ML) reflective coatings with 20 bilayers, and a new thinner Ni-based absorber layer on each of these mask stacks. The use of a Ru/Si ML coating with its shallower effective reflectance plane and a 2x thinner Ni-based absorber is expected to significantly reduce both shadow bias requirements and mask telecentricity errors. The conclusions of the paper are supported with the results of both experimental measurements and rigorous simulations.


Proceedings of SPIE | 2014

Deconstructing contact hole CD printing variability in EUV lithography

Deniz E. Civay; Tom Wallow; N. Doganaksoy; Erik Verduijn; Gerard M. Schmid; Pawitter Mangat

Lithographic CD printing variability can be easily captured with a CDU measurement, however delineating the most significant sources causing the variability is challenging. In EUV lithography, the resist, reticle, metrology methodology, and stochastics are examples of factors that influence printing variability. Determining the most significant sources of variability in contact hole and via patterning is particularly interesting because the variability can be measured as a function of two tethered dimensions. Contact hole (CH) variability has a direct impact on device performance while via variability affects metal area scaling and design. By studying sources of variability opportunities for improving device performance and scaling can be identified. In this paper, we will examine sources of contact patterning variability in EUV lithography comprehensively using various EUV exposure tools as well as simulation methods. We will present a benchmark of current state of the art materials and patterning methods with the goal of assessing contact hole printability at the limit of 0.33 NA EUV lithography.

Collaboration


Dive into the Erik Verduijn's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Bruce W. Smith

Rochester Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Antoine Wojdyla

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge