Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yulu Chen is active.

Publication


Featured researches published by Yulu Chen.


Proceedings of SPIE | 2016

Comparison of left and right side line edge roughness in lithography

Lei Sun; Nicole Saulnier; Genevieve Beique; Erik Verduijn; Wenhui Wang; Yongan Xu; Hao Tang; Yulu Chen; Ryoung-Han Kim; John C. Arnold; Nelson Felix; Matthew E. Colburn

The left side and right side line edge roughnesses (LER) of a line are compared for different conditions, such as through pitch, through critical dimension (CD), from horizontal to vertical line direction, from litho to etch. The investigation shows that the left and right side LER from lithography process are the same, however, the metrology can cause a 4-25% increase in the measured right side LER. The LER difference is related to the CDSEM e-beam scan direction.


Proceedings of SPIE | 2016

Application of EUV resolution enhancement techniques (RET) to optimize and extend single exposure bi-directional patterning for 7nm and beyond logic designs

Ryoung-Han Kim; Obert Wood; Michael Crouse; Yulu Chen; Vince Plachecki; Keith Gronlund

EUV lithography is uniquely positioned to extend single exposure solutions for critical imaging layers at the 7 nm technology node and beyond. In this work, we demonstrate the application of advanced EUV resolution enhancement techniques to enable bidirectional printing of 36 and 32 nm pitch standard logic cell and SRAM designs with 0.33 NA optics using an EUV OPC model. Prior work has highlighted the issues of pattern placement errors and image contrast loss due to the non-telecentricity that is inherent in EUV reflective imaging systems and masks. This work has also demonstrated utilizing asymmetric pupil to reduce the pattern placement error. It has been previously shown that there is a potential reduction in common process window due to through-pitch best focus shifts with non-optimized SRAF placement. In this paper, we demonstrate the use of: pattern placement error aware SMO, asymmetric illumination shape, and SRAF placement optimization to increase the overall common process window by as much as 40% compared to OPC only optimization. Consequently, we demonstrate the improved post-RET single patterning solution for 0.33 NA EUV bi-directional 7 nm node logic designs. We show that these techniques can achieve the required performance for MEEF, best focus shift across features, and ILS, which is known to be important for reducing stochastics and subsequent line-edge-roughness (LER).


Proceedings of SPIE | 2017

Separating the optical contributions to line-edge roughness in EUV lithography using stochastic simulations

Anindarupa Chunder; Azat Latypov; Yulu Chen; John J. Biafore; Harry J. Levinson; Todd Bailey

Minimization and control of line-edge roughness (LER) and contact-edge roughness (CER) is one of the current challenges limiting EUV line-space and contact hole printability. One significant contributor to feature roughness and CD variability in EUV is photon shot noise (PSN); others are the physical and chemical processes in photoresists, known as resist stochastic effect. Different approaches are available to mitigate each of these contributions. In order to facilitate this mitigation, it is important to assess the magnitude of each of these contributions separately from others. In this paper, we present and test a computational approach based on the concept of an ‘ideal resist’. An ideal resist is assumed to be devoid of all resist stochastic effects. Hence, such an ideal resist can only be simulated as an ‘ideal resist model’ (IRM) through explicit utilization of the Poisson statistics of PSN2 or direct Monte Carlo simulation of photon absorption in resist. LER estimated using IRM, thus quantifies the exclusive contribution of PSN to LER. The result of the simulation study done using IRM indicates higher magnitude of contribution (60%) from PSN to LER with respect to total or final LER for a sufficiently optimized high dose ‘state of the art’ EUV chemically amplified resist (CAR) model.


Proceedings of SPIE | 2016

Line edge roughness frequency analysis for SAQP process

Lei Sun; Xiaoxiao Zhang; Shimon Levi; Adam Ge; Hua Zhou; Wenhui Wang; Navaneetha Krishnan; Yulu Chen; Erik Verduijn; Ryoung-Han Kim

The line edge roughness (LER) and line width roughness (LWR) transfer in a self-aligned quadruple patterning (SAQP) process is shown for the first time. Three LER characterization methods, including conventional standard deviation method, power spectral density (PSD) method and frequency domain 3-sigma method, are used in the analysis. The wiggling is also quantitatively characterized for each SAQP step with a wiggling factor. This work will benefit both process optimization and process monitoring.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

Thin absorber EUV photomask based on mixed Ni and TaN material

Derrick Hay; Patrick Bagge; Ian Khaw; Lei Sun; Obert Wood; Yulu Chen; Ryoung-Han Kim; Zhengqing John Qi; Zhimin Shi

Lithographic patterning at the 7 and 5 nm nodes will likely require EUV (λ=13.5 nm) lithography for many of the critical levels. All optical elements in an EUV scanner are reflective which requires the EUV photomask to be illuminated at an angle to its normal. Current scanners have an incidence of 6 degree, but future designs will be <6 degrees for high-NA systems. Non-telecentricity has been shown to cause H-V bias due to shadowing, pattern shift through focus, and image contrast lost due to apodization by the reflective mask coating. A thinner EUV absorber can dramatically reduce these issues. Ni offers better EUV absorption than Ta-based materials, which hold promise as a thinner absorber candidate. Unfortunately, the challenge of etching Ni has prevented its adoption into manufacturing. We propose a new absorber material that infuses Ni nanoparticles into the TaN host medium, allowing for the use of established Ta etching chemistry. A thinner is absorber is created due to the enhanced absorption properties of the Ni-Ta nano-composite material. Finite integral method and effective medium theory-based transfer matrix method have been independently developed to analyze the performance of the nano-composite absorption layer. We show that inserting 15% volume fraction Ni nanoparticles into 40-nm of TaN absorber material can reduce the reflection below 2% over the EUV range. Numerical simulations confirm that the reduced reflectivity is due to the increased absorption of Ni, while scattering only contributes to approximately 0.2% of the reduction in reflectivity.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Holistic analysis of aberration induced overlay error in EUV lithography

Yulu Chen; Lars Liebmann; Lei Sun; Allen H. Gabor; Shuo Zhao; Feixiang Luo; Obert Wood; Xuemei Chen; Daniel Schmidt; Michael Kling; Francis Goodwin

Although lens aberrations in EUV imaging systems are very small, aberration impacts on pattern placement error and overlay error need to be carefully investigated to obtain the most robust lithography process for high volume manufacturing. Instead of focusing entirely on pattern placement errors in the context of a single lithographic process, we holistically study the interaction between two sequential lithographic layers affected by evolving aberration wavefronts, calculate aberration induced overlay error, and explore new strategies to improve overlay.


Proceedings of SPIE | 2017

Printability and actinic AIMS review of programmed mask blank defects

Erik Verduijn; Pawitter Mangat; Obert Wood; Jed Rankin; Yulu Chen; Francis Goodwin; Renzo Capelli; Sascha Perlitz; Dirk Hellweg; Ravi Bonam; Shravan Matham; Nelson Felix; Daniel Corliss

We report on the printability, mitigation and actinic mask level review of programmed substrate blank pit and bump defects in a EUV lithography test mask. We show the wafer printing behavior of these defects exposed with an NXE:3300 EUV lithography scanner and the corresponding mask level actinic review using the AIMSTM tool. We will show which categories of these blank substrate defects print on wafer and how they can be mitigated by hiding these defects under absorber lines. Furthermore we show that actinic AIMSTM mask review images of these defects, in combination with a simple thresholded resist transfer model, can accurately predict their wafer printing profiles. We also compare mask level actinic AIMSTM to top down mask SEM review in their ability to detect these defects.


Photomask Technology | 2017

Rigorous simulation of EUV mask pellicle

Xiangyu Zhou; Ulrich Klostermann; Obert Wood; Sajan Marokkey; Mariya Braylovska; Yulu Chen; Lei Sun; Francis Goodwin

Pellicles that satisfy transmission, emission, thermal, and mechanical requirements are highly desired for EUV high volume manufacturing. We present here the capability of integrating pellicles in the full flow of rigorous EUV lithography simulations. This platform allows us to investigate new coherence effects in EUV lithography when pellicle is used. Critical dimension uniformity and throughput loss due to pellicle defects and add-on particles are also analyzed. Our study provides theoretical insights into pellicle development and facilitates pellicle insertion in EUV lithography.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2017

Tip-to-tip variation mitigation in extreme ultraviolet lithography for 7 nm and beyond metallization layers and design rule analysis

Yulu Chen; Lei Sun; Zhengqing John Qi; Shuo Zhao; Francis Goodwin; Itty Matthew; Vince Plachecki

The authors develop and present computational lithography solutions to mitigate the tip-to-tip variations in 7 nm and beyond metallization layers. An array of patterns that represent lithography challenges are generated from basic design rules. The lithography process is optimized by source mask co-optimization to achieve high image qualities and maximum overlapping process windows for all patterns. By analyzing the role of diffraction orders in forming images with tight tip-to-tip, the authors identify the diffraction orders that should be admitted or rejected in the projection imaging system. This leads to analytically derived source profiles that match and explain numerical results. The authors have also found optimal minimum pitches that can achieve robust lithography process as well as design flexibility without losing throughput. Our work provides design for manufacturability guidance to metallization layers in advanced technology nodes so that patterning failures can be avoided before time-consumin...


International Conference on Extreme Ultraviolet Lithography 2017 | 2017

Measurement of through-focus EUV pattern shifts using the SHARP actinic microscope

Obert Wood; Yulu Chen; Pawitter Mangat; Kenneth A. Goldberg; Markus P. Benk; Bryan S. Kasprowicz; Henry Kamberian; Jeremy McCord; Thomas Wallow

This paper provides experimental measurements of through-focus pattern shifts between contact holes in a dense array and a surrounding pattern of lines and spaces using the SHARP actinic microscope in Berkeley. Experimental values for pattern shift in EUV lithography due to 3D mask effects are extracted from SHARP microscope images and benchmarked with pattern shift values determined by rigorous simulations.

Collaboration


Dive into the Yulu Chen's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ian Khaw

University of South Florida

View shared research outputs
Top Co-Authors

Avatar

Patrick Bagge

University of South Florida

View shared research outputs
Top Co-Authors

Avatar

Zhimin Shi

University of South Florida

View shared research outputs
Researchain Logo
Decentralizing Knowledge