Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pawitter Mangat is active.

Publication


Featured researches published by Pawitter Mangat.


international conference on computer aided design | 2012

Layout small-angle rotation and shift for EUV defect mitigation

Hongbo Zhang; Yuelin Du; Martin D. F. Wong; Yunfei Deng; Pawitter Mangat

Blank defect mitigation is crucial for extreme ultraviolet (EUV) lithography. One of the existing options is to relocate patterns to avoid defect impact. However, when the defect number increases, only pattern shift in X-Y directions becomes far from enough, requiring the reticle holder rotate a small angle to provide a third exploring dimension. This non-trivial extension from 2D to 3D exploration requests efficient runtime as well as enough accuracy to handle different defect sizes and locations on the different features. In this paper, we present the first work with a detailed algorithm to find the optimal shift and rotation for layout patterns on blanks. Compared to the straightforward method, which is to check every pair of defect and feature at every possible relocation position, our proposed algorithm can significantly reduce the runtime complexity to scale linearly with the size of the full solution space. The experimental results validate our method and show a largely increased success rate of defect mitigation by shift and rotation.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Sudharshanan Raghunathan; Obert Wood; Pawitter Mangat; Erik Verduijn; Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Kenneth A. Goldberg; Markus P. Benk; Patrick A. Kearney; Zachary Levinson; Bruce W. Smith

Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECHs second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optim...


Proceedings of SPIE | 2015

Considerations for a free-electron laser-based extreme-ultraviolet lithography program

Erik R. Hosler; Obert Wood; William A. Barletta; Pawitter Mangat; Moshe Preil

Recent years have seen great strides in the development of extreme ultraviolet (EUV) laser-produced plasma sources. Field deployed EUV exposure tools are now capable of facilitating advanced technology node development. Nevertheless, as the required manufacturing exposure dose scales, EUV sources must follow suit and provide 500- 1000 W to maintain production throughputs. A free-electron laser (FEL) offers a cost effective, single-source alternative for powering an entire EUV lithography program. FEL integration into semiconductor fab architecture will require both unique facility considerations as well as a paradigm shift in lithography operations. Critical accelerator configurations relating to energy recovery, multi-turn acceleration, and operational mode are discussed from engineering/scientific, cost-minimization, and safety perspectives. Furthermore, the individual components of a FEL (electron injector, RF systems, undulator, etc.) are examined with respect to both design and cost, considering existing technology as well as prospective innovations. Finally, FEL development and deployment roadmaps are presented, focusing on manufacturer deployment for the 5 nm or 3 nm technology nodes.[1-3]


Photomask Technology 2014 | 2014

Imaging impact of multilayer tuning in EUV masks, experimental validation

Vicky Philipsen; Eric Hendrickx; Erik Verduijn; Sudhar Raghunathan; Obert Wood; Victor Soltwisch; Frank Scholze; Natalia Davydova; Pawitter Mangat

This paper reports on the experimental validation of adapting the multilayer periodicity of an EUV mask to mitigate pattern shifts at wafer level. This EUV specific pattern shift will eventually contribute to overlay budgets which continue to tighten with decreasing technology node. A good understanding of its manipulators, i.e., mask 3D effects, is paramount. By means of mask diffractometry and scanner exposures at numerical aperture of 0.33 the mask-induced pattern shift at wafer level is verified. These measurements are then correlated to rigorous simulations using a calibrated EUV mask stack model to accurately predict the imaging impact of multilayer tuning in EUV masks. A comprehensive interpretation of the mask 3D impact on pattern shift at wafer level will be presented based on simulated diffraction pupils supported by experimental verification at both mask and wafer level.


Proceedings of SPIE | 2012

EUV OPC for the 20-nm node and beyond

Chris Clifford; Yi Zou; Azat Latypov; Oleg Kritsun; Thomas Wallow; Harry J. Levinson; Fan Jiang; Deniz E. Civay; Keith Standiford; Ralph Schlief; Lei Sun; Obert Wood; Sudhar Raghunathan; Pawitter Mangat; Hui Peng Koh; Craig Higgins; Jeffrey Schefske; Mandeep Singh

Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

Mask blank defect printability comparison using optical and SEM mask and wafer inspection and bright field actinic mask imaging

Pawitter Mangat; Erik Verduijn; Obert Wood; Markus P. Benk; Antoine Wojdyla; Kenneth A. Goldberg

Despite significant enhancements in defect detection using optical and e-beam methodology, the smaller length scales and increasing challenges of future technology nodes motivate ongoing research into the need and associated cost of actinic inspection for EUV masks. This paper reports an extensive study of two EUV patterned masks, wherein the mask blank defectivity was characterized using optical (mask and wafer) methods and bright-field mask imaging (using the SHARP actinic microscope) of previously identified blank defects. We find that the bright field actinic imaging tool microscope captures and images many defects that are not seen by the automated optical inspection of patterned masks and printed wafers. In addition, actinic review reveals the impact of multilayer damage and depicts the printability profile which can be used as an added metric to define the patterned mask repair and defect compensation strategies.


Journal of Micro-nanolithography Mems and Moems | 2016

Measurement of EUV lithography pupil amplitude and phase variation via image-based methodology

Zachary Levinson; Erik Verduijn; Obert Wood; Pawitter Mangat; Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Bruce W. Smith

Abstract. An approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function is presented. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We seek to examine the behavior of pupil amplitude variation in real-optical systems. Optimized target images were captured under several conditions to fit the resulting pupil responses. Both the amplitude and phase components of the pupil function were extracted from a zone-plate-based EUV mask microscope. The pupil amplitude variation was expanded in three different bases: Zernike polynomials, Legendre polynomials, and Hermite polynomials. It was found that the Zernike polynomials describe pupil amplitude variation most effectively of the three.


SPIE Photomask Technology | 2015

EUV actinic brightfield mask microscopy for predicting printed defect images

Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Erik Verduijn; Obert Wood; Pawitter Mangat

Improving our collective understanding of extreme ultraviolet (EUV) photomask defects and the imaging properties of available defect imaging tools is essential for improving EUV mask defectivity, defect repair and mitigation, and for high-level strategic decision-making. In this work, we perform a qualitative comparison of twenty-five defects imaged with mask scanning electron microscopy (SEM), EUV actinic mask imaging, and wafer SEM imaging. All but two of the defect locations were first identified by non-actinic mask blank inspection, prior to patterning. The others were identified as repeating defects on the wafer. We find that actinic defect imaging is predictive of the wafer prints, with small-scale features clearly replicated. While some mask defect SEM images match the wafer prints, others print with a larger outline indicating the presence of sub-surface disruptions hidden from the SEM’s view. Fourteen other defects were subjected to an aerial image phase measurement method called Fourier Ptychography (FP). Although phase shifts were observed in the larger defects, the smaller defects in the dataset showed no significant phase shifting. We attribute this discrepancy to non-actinic mask blank inspection’s limited ability to detect small phase defects under normal operating conditions.


Proceedings of SPIE | 2015

A method of image-based aberration metrology for EUVL tools

Zac Levinson; Sudharshanan Raghunathan; Erik Verduijn; Obert Wood; Pawitter Mangat; Kenneth A. Goldberg; Markus P. Benk; Antoine Wojdyla; Vicky Philipsen; Eric Hendrickx; Bruce W. Smith

We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope.


Proceedings of SPIE | 2015

Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks

Obert Wood; Sudharshanan Raghunathan; Pawitter Mangat; Vicky Philipsen; Vu Luong; Patrick Kearney; Erik Verduijn; Aditya Kumar; Suraj K. Patil; Christian Laubis; Victor Soltwisch; Frank Scholze

In this paper we compare the imaging performance of several options currently under consideration for use in 0.33 and higher numerical aperture (NA) extreme ultraviolet (EUV) mask stacks, Mo/Si ML reflective coatings with 40 bilayers, Ru/Si multilayer (ML) reflective coatings with 20 bilayers, and a new thinner Ni-based absorber layer on each of these mask stacks. The use of a Ru/Si ML coating with its shallower effective reflectance plane and a 2x thinner Ni-based absorber is expected to significantly reduce both shadow bias requirements and mask telecentricity errors. The conclusions of the paper are supported with the results of both experimental measurements and rigorous simulations.

Collaboration


Dive into the Pawitter Mangat's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge