Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where G. Schindler is active.

Publication


Featured researches published by G. Schindler.


Integrated Ferroelectrics | 1997

Influence of Ti-content in the bottom electrodes on the ferroelectric properties of SrBi2Ta2O9 (SBT)

G. Schindler; Walter Hartner; Vikram Joshi; Narayan Solayappan; Gary F. Derbenwick; Carlos Mazure

Abstract Stress behavior, results of AES analysis and electrical properties of SBT in dependence of electrode structure and annealing conditions are discussed. Evidence for degradation of the electrical properties of SBT due to diffusion of Ti is presented.


Integrated Ferroelectrics | 1998

Imprint in ferroelectric SrBi2Ta2O9 capacitors for non-volatile memory applications

M. Grossmann; O. Lohse; D. Bolten; Rainer Waser; Walter Hartner; G. Schindler; Christine Dehm; Nikolas Nagel; Vikram Joshi; Narayan Solayappan; Gary F. Derbenwick

Abstract Imprint is known as a failure mechanism in ferroelectric capacitors due to a voltage shift in the hysteresis curve. A detailed study to investigate the time, temperature and bias voltage dependence of the voltage shift was performed on MOD SBT thin films. Lifetime extrapolation under operating conditions (125 °C) reveal values for the lifetime of well over ten years.


MRS Proceedings | 1998

Origin of Imprint in Ferroelectric CSD SrBi 2 Ta 2 O 9 Thin Films

M. Grossmann; O. Lohse; D. Bolten; Rainer Waser; W. Hartner; G. Schindler; Nikolas Nagel; Christine Dehm

The imprint behavior of CSD processed SrBi 2 Ta 2 O 9 (SBT) thin films has been investigated as a function of time, applied bias, illumination with band gap light and post anneal under different oxygen partial pressures. Applying a bias in the direction of the polarization enhances the tendency of the capacitor to exhibit a voltage shift as well as illuminating the poled capacitor with band gap light. Post anneal after top electrode deposition and patterning under slightly reducing atmospheres does not affect the imprint rate. From these experimental results, a model is presented which explains the imprint behavior of SBT films by transport of electronic charges from the electrodes into the film and subsequent trapping of these charges near the interface.


Integrated Ferroelectrics | 1999

Influence of dry etching using argon on structural and electrical properties of crystalline and non-crystalline SrBi2Ta2O9 thin films

Walter Hartner; G. Schindler; Volker Weinrich; Mattias Ahlstedt; Herbert Schroeder; Rainer Waser; Christine Dehm; Carlos Mazure

Abstract After patterning the Platinum/crystalline SrBi2Ta2O9 bilayer by Argon based Reactive Ion Etching (RIE), a degradation of the remanent polarization and leakage current of the capacitors for smaller feature sizes is observed. To simulate the study of the side wall of the capacitors, etching of blanket SBT is used as a model experiment. It is shown that etching of crystalline SBT is damaging the SBT material, resulting in the formation of small crystallites (SEM), the appearance of an unknown peak (XRD) and reduction of the Bismuth content on the SBT surface (AES). Using non-crystalline SBT, neither a degradation of electrical properties for smaller feature sizes nor a structural damage of blanket SBT is found after etching and recrystallization annealing although after etching of non-crystalline SBT also a loss of Bi is seen as indicated by AES. Therefore the following model is proposed: Patterning the Pt/crystalline SBT capacitor leads to a Bi deficient edge of the dielectric. Due to the crystalli...


Integrated Ferroelectrics | 1998

Role of recovery anneals for chemical solution deposition (CSD) based SrBi2Ta2O9 (SBT) thin films

Walter Hartner; G. Schindler; Volker Weinrich; Nicolas Nagel; Manfred Engelhardt; Vikram Joshi; Narayan Solayappan; Gary F. Derbenwick; Christine Dehm; Carlos Mazure

Abstract Using a recovery anneal after deposition of the Pt top electrode and patterning the Platinum / SrBi2Ta2O9 bilayer has been established to obtain well shaped hysteresis curves with low leakage currents. Electrical properties of SBT test capacitors in dependence of temperature and time for the recovery anneal are discussed. Evidence for degradation of the electrical properties of SBT capacitors after patterning due to the appearance of a new unknown peak in X-ray diffraction (XRD) is presented.


Archive | 1997

Process for fabricating layered superlattice materials and AB03 type metal oxides without exposure to oxygen at high temperatures

G. Schindler; Walter Hartner; Carlos Mazure; Narayan Solayappan; Vikram Joshi; Gary F. Derbenwick


Archive | 1999

Semiconductor configuration with a protected barrier for a stacked cell

Walter Hartner; G. Schindler; Carlos Mazure-Espejo


Archive | 1998

Method of producing a platinum-metal pattern or structure by a lift-off process

G. Schindler; Walter Hartner; Dana Pitzer


Integrated Ferroelectrics | 1998

The role of rapid thermal processing in crsystallization of SBT thin films

Vikram Joshi; Narayan Solayappan; Walter Hartner; G. Schindler; Christine Dehm; Carlos Mazure; Gary F. Derbenwick


MRS Proceedings | 1999

Scanning Probe Microscopy (SPM) for the Investigation of Local Electrical Properties of High-K Dielectric/Ferroelectric Films

S.A. Landau; P.-A. Weiß; N. Junghans; B.O. Kolbesen; D. Adderton; G. Schindler; W. Hartner; Frank Hintermaier; Christine Dehm; Carlos Mazure

Collaboration


Dive into the G. Schindler's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

D. Bolten

RWTH Aachen University

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge