Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Geert Doumen is active.

Publication


Featured researches published by Geert Doumen.


Langmuir | 2017

Superhydrophobic Breakdown of Nanostructured Surfaces Characterized in Situ Using ATR–FTIR

Nandi Vrancken; Stefanie Sergeant; Guy Vereecke; Geert Doumen; Frank Holsteyns; H. Terryn; Stefan De Gendt; XiuMei Xu

In situ characterization of the underwater stability of superhydrophobic micro- and nanostructured surfaces is important for the development of self-cleaning and antifouling materials. In this work, we demonstrate a novel attenuated total reflectance-Fourier transform infrared (ATR-FTIR) spectroscopy-based method for large-area wetting characterization of silicon nanopillars. When air is present in between the structures, as is characteristic of the Cassie-Baxter state, the relative intensities of the water bands in the absorption spectrum change because of the wavelength-dependent attenuation of the evanescent wave. This phenomenon enables unambiguous identification of the wetting state and assessment of liquid impalement. Using mixtures of isopropanol and water with different concentrations, the breakdown of superhydrophobic states and the wetting hysteresis effects are systematically studied on uniform arrays of silicon nanopillars. A transition from the Cassie-Baxter to Wenzel state is observed when the isopropanol concentration exceeds 2.8 mol %, corresponding to a critical surface tension of 39 mN/m. Spontaneous dewetting does not occur upon decreasing the isopropanol concentration, and pure water can be obtained in a stable Wenzel state on the originally superhydrophobic substrates. The developed ATR-FTIR method can be promising for real-time monitoring of the wetting kinetics on nanostructured surfaces.


Solid State Phenomena | 2007

Removal of Nano-Particles by Mixed-Fluid Jet: Evaluation of Cleaning Performance and Comparison with Megasonic

Guy Vereecke; T. Veltens; Atsuro Eitoku; Kenichi Sano; Geert Doumen; Wim Fyen; Kurt Wostyn; James Snow; Paul Mertens

Cleaning of nano-particles is becoming a major challenge in semiconductor manufacturing as efficient particle removal must be achieved without substrate loss and without damage to fragile structures. In this work cleaning performance and structural damage by a mixed fluid-jet technique were evaluated and directly compared to the performance of several megasonic systems. The test vehicles were hydrophilic Si wafers contaminated with 78-nm SiO2 particles and 70-nm poly-gatestack line patterned wafers. The results showed a broader process window for particle removal without damaging for the mixed fluid-jet technique compared to the megasonic systems.


Solid State Phenomena | 2012

Study of the Etching Mechanism of Heavily Doped Si in HF

Nick Valckx; Daniel Cuypers; Rita Vos; Harold Philipsen; Jens Rip; Geert Doumen; Paul Mertens; Marc Heyns; Stefan De Gendt

Following Moores scaling law, the transistor source and drain area become shallower and higher doped regions. As a consequence the limitations of substrate and dopant loss during cleaning become more stringent. For a better understanding, highly B, As and P doped blanket substrates, either prepared by ion implantation or by EPI growth, are studied. Substrate and dopant loss as a function of time and different HF etching conditions is monitored by Inductively Coupled Plasma Mass Spectrometry (ICP-MS) and additional techniques like Spectroscopic Ellipsometry (SE), .... It is shown that in general, the Si etching is dependent of the position of the Fermi level. More remarkably, the junction (4 nm) of a non-annealed heavily As or P doped substrate is completely removed after less than 20 min of etching in HF. This process is related to enhanced etch rates because of the amorphization of the substrate.


Cleaning and Surface Conditioning Technology in Semiconductor Device Manufacturing 11 | 2009

Impact of Acoustical Reflections on Megasonic Cleaning Performance

Steven Brems; Marc Hauptmann; Elisabeth Camerotto; Antoine Pacco; Sandip Halder; Aaldert Zijlstra; Geert Doumen; Twan Bearda; Paul Mertens

Electrical measurements have shown a direct impact of reflection of acoustic waves back into a transducer. Impedance measurements illustrate in specific cases the existence of multiple resonance peaks when reflected acoustic waves are present. Current and voltage measurements have confirmed this result. From these results, one can already conclude that acoustic reflections have a large impact on the operation of a transducer. Furthermore, it is shown that for megasonic cleaning tools with a face-to-face configuration of transducer and wafer, a precise control over the distance (control over the reflections) between the transducer and wafer is very important. Particle Removal Efficiency (PRE) measurements immediately show a major dependence on the position of the wafer. The PRE dependence is directly linked to the forward power consumed by the transducer, which is largely influenced by the position of the wafer or, in other words, by the reflection of acoustic waves.


Solid State Phenomena | 2012

Evaluation of High-Speed Linear Air-Knife Based Wafer Dryer

Amir Hossein Tamaddon; Naser Belmiloud; Geert Doumen; H. Struyf; Paul Mertens; Marc Heyns

With the downscaling of devices, due to device geometry shrinkage, the total number of cleaning steps has increased dramatically. As a result, the number of drying cycles after cleaning has increased as well. As the device shrinks with the integration density increase, it is noteworthy that a perfect drying efficiency is mandatory to obtain a high performance device [. Basically, the mechanism of wafer drying in semiconductor industry can be explained as: first reducing the amount of liquid on the wafer surface by mechanical forces. There are some approaches for removing the liquid such as spinning, high pressure gas blowing by nozzle or air-jet, vertical withdrawal from the liquid bath, using surface gradient tension and so on [2]. Second: if the mechanical forces in the liquid removal part are not sufficient for drying and some droplets or a thin liquid layer remain on the wafer surface, complete drying will be achieved by evaporation of the remaining layer on the wafer. After this evaporation step, known as state transformation, the wafers will be completely dried. Evaporation of the remaining liquid layer is the main mechanism for generating drying defects (watermarks, residues, particles, and etc.)[3]. In this study, we propose a new methodology for semiconductor wafer drying based on a high-pressure gas flow. In comparison to conventional drying tools, the new drying set up combines high speed drying (wafer drying time down to 2 sec at 150mm.s-1) and a low number of added drying defects.


Solid State Phenomena | 2005

A Force Study in Brush Scrubbing

Kai Dong Xu; Rita Vos; Guy Vereecke; Geert Doumen; Wim Fyen; Paul Mertens; Marc Heyns; Chris Vinckier; Jan Fransaer

This study focuses on the analysis of the forces and moments acting on a particle to reveal the particle-removal mechanisms during a scrubbing process. The brush-asperities/substrate contact geometry during scrubbing is first investigated. The forces and moments under different lubrication modes are then determined by means of a mixed theoretical-experimental method. Finally, the analysis of the forces and moments is used to explain the experimental results and to find out the particle-removal mechanisms.


Solid State Phenomena | 2005

Performance of a Linear Single Wafer IPA Vapour Based Drying System

Wim Fyen; Sophia Arnauts; Frank Holsteyns; Geert Doumen; Guy Vereecke; Jan Van Steenbergen; Paul Mertens

In this paper, a single wafer linear IPA vapour based vertical drying technique is presented. Using salt residue tests the performance of this technique is evaluated and compared to spin drying. The equivalent film thickness of evaporating liquid is below 0.05µm for blanket wafers, which is two orders of magnitude less than with spin drying. It is also shown that the presence of surface topography (200nm high TEOS features on Si covered with a chemical oxide) does not significantly influence the drying performance. A study of the process window shows that for the setup evaluated in this work best performance is achieved when the IPA/N2 flow rate is above 20 liters per minute and the drying speed is below 8 mm/s. With a manual prototype already very good particle performance is demonstrated.


Solid State Phenomena | 2016

Pattern Collapse of High-Aspect-Ratio Silicon Nanostructures - A Parametric Study

Nandi Vrancken; Guy Vereecke; Stef Bal; Stefanie Sergeant; Geert Doumen; Frank Holsteyns; H. Terryn; Stefan De Gendt; Xiu Mei Xu

This work focuses on capillary-induced collapse of high-aspect-ratio silicon nanopillars. Modification of the surface chemistry is demonstrated to be an efficient approach for reducing capillary forces and consequently reduce pattern collapse. Special effort is spent on determination of the wetting state of chemically modified surfaces as complete structure wetting is of utmost importance in wet processing. In light of this, an ATR-FTIR based method has been developed to unambiguously distinguish between wetting and non-wetting states.


Solid State Phenomena | 2009

The Influence of Standing Waves on Cleaning with a Megasonic Nozzle

Tom Janssens; Geert Doumen; Sandip Halder; Kurt Wostyn; Paul Mertens; Joachim Straka

A non uniform sound field distribution can be a problem in a megasonic cleaning system, since a higher sound intensity can cause damage, while areas exposed to a lower intensity will be insufficiently cleaned. These non uniformities can be the result of sound field reflection, leading to standing waves, and the interference related to the near field. In a single wafer tool with a transducer facing the wafer a small height difference will have a large impact on the cleaning efficiency if standing waves are present. Here we study the impact of the wafer transducer height in a cleaning system using a megasonic nozzle above a rotating wafer.


Proceedings of the 216th ECS Meeting | 2009

Electrochemical and analytical study of the Si etching mechanism in HF

Nick Valckx; Rita Vos; Jens Rip; Geert Doumen; Paul Mertens; Twan Bearda; Marc Heyns; Stefan De Gendt

In this work a methodology and metrology for the Si substrate loss characterization during cleaning and etching of Si semiconductor substrate has been developed. Using this methodology, the substrate loss in different HF based cleaning solutions can be investigated, both in situ and ex situ. The etching mechanism in different HF mixtures is studied, where the effect of dissolved O2, illumination, free F-ions and additives can be explored. Etch rates are determined ex situ by measuring the Si concentration as function of time with Inductively Coupled Plasma Mass Spectrometry (ICP-MS). By combining both electrochemical and ICP-MS data, the number of electrons n involved in the electron transfer reaction are determined both in darkness and illumination. Results confirm the existing Si etching mechanism under illumination, with n=2. It is shown that in darkness a low etch rate is observed, and even under deoxygenated HF conditions pointing to some chemical etching mechanism.

Collaboration


Dive into the Geert Doumen's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jens Rip

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Twan Bearda

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge