Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gregory McIntyre is active.

Publication


Featured researches published by Gregory McIntyre.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Polarization aberration analysis in optical lithography systems

Jongwook Kye; Gregory McIntyre; Yamamoto Norihiro; Harry J. Levinson

The use of immersion technology extends the lifetime of optical lithography by enabling ultra-high NA much greater than 1.0. Ultra-high NA application for low k1 imaging strongly demands an adoption of polarization illumination as a resolution enhancement technology. It is typically assumed that the transmitted wavefront has uniform amplitude and a constant polarization state across the pupil. This assumption is not valid any more for the level required for low k1 imaging. This paper considers methods of polarization analysis including polarization aberration theory. Definitions of basic polarization phenomena and review of matrix representation are included in this discussion. Finally we propose Pauli spin matrix representation as preferred method to describe polarization aberration.


Optical Microlithography XVIII | 2005

PSM polarimetry: monitoring polarization at 193nm high-NA and immersion with phase shifting masks

Gregory McIntyre; Andrew R. Neureuther

A technique using phase shifting test mask patterns is introduced for monitoring polarization balance of the illumination in high-NA and immersion projection printing systems. A set of test mask patterns are derived from high-NA proximity effects and serve to scatter light into high angle spatial frequencies. This creates a central intensity dependent only on the local state of polarization. A test mask consisting of multiple patterns is proposed to monitor the polarization from any arbitrary illumination scheme. Proper calibration of the test reticle enables reasonable mask making limitations and mask topography effects to be tolerated. A set of linear equations enable determination of the Stokes parameters from a series of resist images. Practical examples are simulated with rigorous electromagnetic theory. In resist, this technique is likely to monitor polarization with a sensitivity of over 1.5 percent of the clear field per percent change in polarization state for on-axis illumination, or over 0.75 percent for off-axis illumination. The effects of various realistic imaging conditions are discussed.


Optical Microlithography XVI | 2003

Characterizing illumination angular uniformity with phase-shifting masks

Gregory McIntyre; Andrew R. Neureuther

A phase shifting mask pattern consisting of a linear phase grating is introduced as a potential tool to measure or monitor illumination angular distribution and uniformity in optical projection printing. An implementation as simple as four phase steps serves to steer the illumination into and out of the pupil at an angle determined by the period of the grating. The total intensity captured within the pupil is recorded in resist, allowing for a fast analysis of the illuminator’s fill of the pupil. The advantage over existing techniques lies in the fact that the analysis of the source has been transferred to the design of the mask pattern. A cursory inspection of a single dose-matrix wafer with a low magnification optical microscope readily displays signs of source irregularity. If signs of irregularity exist, the capability exists for the user to complete a more in-depth analysis of the source intensity distribution. Illumination pupil-fill is easily monitored across the field, tool-to-tool, or over time, or can be compared to the intended design. Due to mask simplicity, this technique is especially useful for monitoring quadrupole or quasar illuminators. Mask requirements are discussed, concluding that with an average grating period of 2μm and tolerance of up to 40nm layer to layer overlay error, fabrication appears feasible.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Interferometric-Probe Monitors for Self-Diagnostics of Phase-Shifting Mask Performance

Gregory McIntyre; Andrew R. Neureuther

A pattern and interferometric-probe technique is introduced for self-testing the effective phase-depth, transmission, and edge effects of shifted regions of a phase-shifting mask (PSM). Both manufacturing error and image intensity imbalance between regions of differing phase necessitate an accurate, feature-dependent measurement. Through utilizing the coherent spillover (proximity effect) from a phase-etched pattern onto a phased-probe, any phase or transmission error is converted into a signal, measurable on either an AIMS tool or observed as an exposed probe in printed resist. The orthogonality of phase and transmission error allows amplification of each separately with either a 0° or 90° probe. The intensity change is a linear function of phase or transmission error and depends only on the size of the pattern and probe used. For example, a simple target of radius 3.1 λ/NA responds with 1% of the clear field intensity per degree of phase error. Simulation studies of several embodiments are shown as well as a mathematical theory describing the monitors behavior. Target sensitivity is greater than existing image-plane analysis techniques for most feature types, to include phase trenches and contact arrays, and does not require through-focus analysis. Functionality is only slightly affected by lens aberrations and probe-manufacturing errors. Mask manufacturing is relatively simple and can be placed on a special test mask or interspersed in a production mask layout.


Journal of Micro-nanolithography Mems and Moems | 2005

Phase-shifting mask polarimetry: monitoring polarization at 193-nm high numerical aperture and immersion lithography with phase shifting masks

Gregory McIntyre; Andrew R. Neureuther

A technique using phase-shifting test mask patterns is introduced for monitoring polarization balance of the illumination in high numerical aperture (NA) and immersion projection printing systems. Test mask patterns are derived from high NA proximity effects and serve to scatter light into high angle spatial frequencies. This creates a central intensity dependent only on the local state of polarization. A test mask consisting of multiple patterns is proposed to monitor the polarization from any arbitrary illumination scheme. Proper calibration of the test reticle enables reasonable mask-making limitations and mask topography effects to be tolerated. A set of linear equations enable determination of the Stokes parameters from a series of resist images. Practical examples are simulated with rigorous electromagnetic theory. In resist, this technique is likely to monitor polarization with a sensitivity of more than 1.5% of the clear field per percent change in polarization state for on-axis illumination, or more than 0.75% for off-axis illumination. The effects of various realistic imaging conditions are discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Experimental verification of PSM polarimetry: monitoring polarization at 193-nm high-NA with phase-shift masks

Gregory McIntyre; Andrew R. Neureuther; Steve Slonaker; Venu Vellanki; Patrick Reynolds

The initial experimental verification of a polarization monitoring technique is presented. A series of phase shifting mask patterns produce polarization dependent signals in photoresist and are capable of monitoring the Stokes parameters of any arbitrary illumination scheme. Experiments on two test reticles have been conducted. The first reticle consisted of a series of radial phase gratings (RPG) and employed special apertures to select particular illumination angles. Measurement sensitivities of about 0.3 percent of the clear field per percent change in polarization state were observed. The second test reticle employed the more sensitive proximity effect polarization analyzers (PEPA), a more robust experimental setup, and a backside pinhole layer for illumination angle selection and to enable characterization of the full illuminator. Despite an initial complication with the backside pinhole alignment, the results correlate with theory. Theory suggests that, once the pinhole alignment is corrected in the near future, the second reticle should achieve a measurement sensitivity of about 1 percent of the clear field per percent change in polarization state. This corresponds to a measurement of the Stokes parameters after test mask calibration, to within about 0.02 to 0.03. Various potential improvements to the design, fabrication of the mask, and experimental setup are discussed. Additionally, to decrease measurement time, a design modification and double exposure technique is proposed to enable electrical detection of the measurement signal.


Journal of Vacuum Science & Technology B | 2005

Monitoring polarization and high-numerical aperture with phase shifting masks: Radial phase grating

Gregory McIntyre; Andrew R. Neureuther

A class of phase shifting test mask patterns is introduced for monitoring both linear and circular polarization balance of the illumination in high-numerical aperture (NA) and immersion projection printing systems. Four test mask patterns are constructed of periodic, alternating phase, radial arrays to scatter light into high angle spatial frequencies to create a central intensity dependent only on the local state of polarization. Two two-phase patterns measure the orthogonal linear polarization components while an additional two four-phase patterns determine the phase relationship between those components. When exposed in a dose matrix in photoresist, they can likely measure polarization imbalance to within a few percent. Based on these patterns, a technique is introduced for predicting image degradation in IC production due to polarization and high-NA effects.


Journal of Micro-nanolithography Mems and Moems | 2006

Polarization aberrations in hyper-numerical-aperture projection printing: a comparison of various representations

Gregory McIntyre; Jongwook Kye; Harry J. Levinson; Andrew R. Neureuther

Various representations of polarization aberrations are described and compared for optical lithography. Polarization aberrations, which are potentially important with hyper-numerical-aperture tools, are a complicated phenomena that refer to induced polarization-dependent wavefront distortions as light propagates through an imaging system. Pupil representations based on the following concepts are discussed: the physical polarization properties, the Mueller matrix, the Jones matrix, and the Jones matrix decomposed into a Pauli spin matrix basis. Although each has its own advantages and disadvantages, it is concluded that the Jones matrix representation decomposed into a Pauli spin matrix basis offers the most useful format for the lithographer due to its compact notation, physically intuitive interpretation, ability to be implemented into standard imaging equations, and its usefulness as an input into a lithographic simulator. Depending on the assumptions that can be made, the pupil specification consists of three to eight independent functions, where a normalization constant is calculated to ensure a physically realizable pupil. An example is shown to illustrate the usefulness of this strategy. Additionally, a simple metric for lens polarization quality based on this representation is proposed.


Journal of Vacuum Science & Technology B | 2006

Phase shift mask interferometric birefringence monitor

Gregory McIntyre; Andrew R. Neureuther

A new type of birefringence monitor based on phase shift masks is proposed and initially characterized for optical lithography using simulation of the electromagnetic artifacts and of the images that result. Birefringence is important in steppers at 193nm due to its inherent existence in crystal structures. The technique developed in this article employs a set of crossed polarizers with one located in a pinhole within an opaque layer in the back side of the photomask and the other just above the image plane. The small amount of rotation due to birefringence in the projection optics will create a fairly small transmission in the presence of birefringence. The detection of the small amount of birefringence is greatly enhanced by providing a circularly polarized reference wave from a subresolution chromeless grating with which the birefringent component interferometrically interacts with. Simulation studies show a theoretical sensitivity of this technique of 1.3% of the clear field intensity per nanometer of...


Journal of Vacuum Science & Technology B | 2005

Screening layouts for high-numerical aperture and polarization effects using pattern matching

Gregory McIntyre; Juliet Holwill; Andrew R. Neureuther; Luigi Capodieci; Yi Zou; Harry J. Levinson; Jongwook Kye

A pattern matching method for quickly estimating the extent to which high-NA and polarization vector effects reduce image quality in projection printing is derived and evaluated for prototypical layout patterns. The angular rotation of the in-incidence plane TM electric field component produces two unwanted effects. It reduces the collinear addition of electric fields at the location of the peak image intensity and, more importantly, it also introduces an electric field component perpendicular to the image plane that acts like stray light. While these imaging effects can be simulated rigorously, the challenge is to quickly screen an entire layout to identify the small subset of regions that must be analyzed more carefully. The approach developed mathematically and evaluated in this paper consists of finding a set of local theoretical patterns having the maximum lateral impact at a reference point. Pattern matching is then used to find areas in a layout that resemble these maximum lateral test functions by...

Collaboration


Dive into the Gregory McIntyre's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jason P. Cain

University of California

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Andrew Neureuther

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Juliet Holwill

University of California

View shared research outputs
Top Co-Authors

Avatar

Edward Huang

University of California

View shared research outputs
Researchain Logo
Decentralizing Knowledge