Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Andrew Neureuther is active.

Publication


Featured researches published by Andrew Neureuther.


Proceedings of SPIE | 2014

Zernike phase contrast microscope for EUV mask inspection

Yow-Gwo Wang; Ryan Miyakawa; Andrew Neureuther; Patrick P. Naulleau

In this paper, we address a new inspection method which provides in-focus inspection capability and higher defect sensitivity compared with conventional mask inspection methods. In the Zernike phase contrast microscope, an added phase shift to background wave combines with the phase of bump and pit defects to achieve higher contrast at focus. If we use a centralized apodization to half the lens radius to further reduce the intensity of the phase-shifted background wave, the signal strength can be improved up to 6-fold of its original value. Simulation results further show that this apodization for a typical EUV mask power spectral density results in the noise decreasing in absolute level similar to the clear field reference signal. Thus large improvements in signal to noise ratios are possible with the Zernike phase contrast microscope type systems for EUV mask inspection applications.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Actinic EUV scatterometry for parametric mask quantification

Stuart Sherwin; Andrew Neureuther; Patrick Naulleau

There are many applications where fast, accurate light scattering from EUV photomasks must be computed, including inverse mask design, actinic die-to-database inspection, and actinic scatterometry. However, so-called mask 3D effects make this calculation much more challenging than traditional optical lithography. These 3D effects arise from the optically thicker absorber, the lack of illumination symmetry about normal incidence, the multilayer mirror reflection function, and multiple scattering off the absorber. In this paper, we explore using actinic scatterometry at the CXRO EUV reflectometer to characterize both the multilayer and absorber of an EUV photomask; we then introduce the Multilayer Multiple Scattering (MLMS) mathematical model that conveniently separates the effects of the multilayer and the absorber and explore the implications of this model on the origins of mask 3D effects.


Proc. SPIE | 2013

Recovering effective amplitude and phase roughness of EUV masks

Rene A. Claus; Iacopo Mochi; Markus P. Benk; Kenneth A. Goldberg; Andrew Neureuther; Patrick Naulleau

Roughness in EUV masks can be induced at the substrate or during the deposition process in the multilayer, and this roughness causes speckle when the mask is used for imaging. The 13.5-nm wavelength light penetrates into the multilayer and interacts mostly with the roughness that is replicated through the multilayer. AFM measurements of the substrate or surface cannot fully capture the effect of the roughness on imaging. We present a method to extract the phase and amplitude roughness from measurements taken using an actinic microscope. The method is non-iterative and is able to properly consider partial coherence, aberrations, and image noise. It works by applying the small phase approximation to linearize the step of taking the intensity from electric field. We also analyze the sensitivity of the method to various miscalibrations that might occur when applying it to measured data.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

EUV mask characterization with actinic scatterometry

Stuart Sherwin; Andrew Neureuther; Patrick Naulleau

With EUV Lithography rapidly approaching maturity, accurate metrology to thoroughly characterize EUV photomasks is needed. We present an actinic EUV reflection-based scatterometry technique to measure key parameters of EUV photomasks to characterize both the multilayer mirror substrate as well as periodic absorber targets fabricated on the multilayer. We show these measurements can be used both in determining the physical dimensions on the mask, and also in directly quantifying optical effects, which can provide invaluable feedback in the mask optimization and manufacturing processes. In this paper, we present four different methods of data analysis for EUV mask scatterometry: two for characterizing the multilayer mirror based on measurements of the reflected light intensity from a flat open area of the mask, and two more for characterizing absorber gratings fabricated on the multilayer substrate based on measurements of the diffraction efficiencies. Key findings include that a simple neural net architecture containing a single fullyconnected hidden layer that can characterize the multilayer’s angularly-varying complex reflection coefficient to 7 × 10-4 accuracy, and that dictionary-based scatterometry with 7 wavelengths from 13.2 − 13.8nm can measure the absorber thickness of a grating to 0.4nm even in the presence of random and systematic errors. With the presented methods and findings, we hope to demonstrate that actinic EUV scatterometry has the capabilities to accurately characterize EUV masks in terms of both multilayer and absorber.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

Measurement and modeling of diffusion characteristics in EUV resist

Luke Long; Andrew Neureuther; Patrick Naulleau

As a critical driver of the resolution, line edge roughness, sensitivity tradeoff, understanding acid diffusion in chemically amplified resist (CAR) is critical for its continued use in extreme ultraviolet lithography. Here we present an experiment that probes the nature of acid diffusion in a commercially available, conventional polymer CAR by measuring the developed linewidth of features as a function of post exposure bake time. In contrast to deep ultraviolet resists, the linewidth vs baketime relationship in the EUV resist studied is not linear. The observed trend in EUV was shown to be well described by the multivariate Poisson propagation model using a Gaussian diffusion kernel with a diffusivity of 25 nm2=s. Deviations from a purely Gaussian diffusion profile were modeled by the inclusion of base that reduces the effective acid concentration in unexposed regions of resist. We thus conclude that acid blur in EUV CAR can be well described as a Fickian diffusion process.


Proceedings of SPIE | 2017

Impact of tool design on defect detection sensitivity for EUV actinic blank inspection

Yow-Gwo Wang; Andrew Neureuther; Patrick P. Naulleau

In this paper, we discuss the impact of various tool design perspectives on defect detection sensitivity for dark-field based EUV actinic blank inspection. We consider the impact from optical resolution (pixel size), EUV source type, and photon collection efficiency on critical defect SNR performance. The results show that as the pixel size approaches the target defect image size, defect SNR increases, and that pixel size also determines the dominant noise source in the inspection system. Moreover, the choice of the EUV source affects the optimal NA and illumination settings. For plasma-discharged sources, more photons provided by larger partial coherent illumination can improve the defect SNR, while coherent illumination is needed to get a higher defect SNR for synchrotron-based source. In the end, we show that simply increasing the photon collection efficiency by using high NA optics or increasing the source power cannot always improve the defect SNR. In a speckle noise dominated situation, larger outer NA includes more noise than defect signal, thus results in a lower SNR. The impact of source power also saturates at certain level as the system becomes speckle noise limited compared to photon noise limited.


International Conference on Extreme Ultraviolet Lithography | 2017

Actinic EUV scatterometry for parametric mask quantification (Conference Presentation)

Stuart Sherwin; Andrew Neureuther; Patrick Naulleau; Paolo A. Gargini; Kurt G. Ronse; Toshiro Itani

In DUV lithography, scatterometry enables precise measurement of mask dimensions such as the pitch, linewidth, and sidewall-angle of periodic patterns. However, substantial differences in the optical properties of DUV and EUV masks, such as angular sensitivity and mask 3D effects, makes simply extending existing technologies difficult. Using the EUV reflectometer at Lawrence Berkeley National Labs Center for X-Ray Optics with tunable wavelength and illumination angle, we explore how to extend scatterometry to EUV masks, with particular emphasis on using rigorous simulations and experimental data to quantify the accuracy of sensitive measurements such as sidewall-angle.nnMask scatterometry at EUV wavelengths has benefits but also poses challenges that are not present at DUV wavelengths. The benefits come primarily from using the same wavelength as lithography; due to the severe sensitivity of the multilayer mirror to wavelength, the diffraction patterns obtained at DUV wavelengths from EUV masks would be both highly attenuated and substantially distorted. However, stronger mask 3D effects and the sensitivity of the multilayer to angle of illumination add extra levels of complexity to modeling the spectra of EUV masks that are not present in traditional DUV masks. nnWe use rigorous FDTD (Finite Difference Time Domain) imaging simulations of patterned EUV multilayer masks to generate a library of spectra including gratings with a range of orientations, pitches, line widths, absorber heights, and side-wall angles under a wide range of illumination wavelengths and angles. We then perform SVD-based dimensionality reduction to find an efficient representation, or dictionary, for the spectra. Using this low-dimensional dictionary, we determine the sampling requirements, i.e. which measurements (angles and wavelengths of illumination) are necessary to measure all parameters of interest to a specified accuracy. We finally acquire experimental spectra of known mask features on the EUV reflectometer using different illumination conditions, and use the dictionary to recover the underlying dimensions of the features.


Photomask Technology 2016 | 2016

Impact of noise sources and optical design on defect sensitivity for EUV actinic pattern inspection

Yow-Gwo Wang; Andrew Neureuther; Patrick P. Naulleau

In this paper, we discuss the impact of various noise sources and optical design on defect sensitivity for bright field EUV actinic pattern inspection. The result shows that an optimum pixel size is needed to maximize the defect signal to noise ratio (SNR) to balance the impact of increasing signal strength and photon shot noise from defect signal and the background pattern intensity (mask layout image) and speckle noise from the mask blank roughness. Moreover, we consider defocus showing that the EUV mask phase effect has an asymmetric impact on pattern defect SNR’s throughfocus. The impact of defocus limits inspection performance based on defect SNR. Using critical defect sizes in a case study, we show the defect SNR performance of the limiting case and discuss the possibility to utilize the phase effect of EUV mask absorber to improve the defect SNR by introducing a nominal defocus into the inspection system. A 50% improvement on SNR is achieved by introducing a -50 nm nominal defocus into the bright field inspection system to operate at a higher defect SNR region.


Photomask Technology 2015 | 2015

The study of phase effects in EUV mask pattern defects

Yow-Gwo Wang; Andrew Neureuther; Patrick P. Naulleau

In this paper, we present a detail study of the impact of material-induced phase effect on the EUV mask absorber defect through-focus behavior. Illumination, material properties, and defect size are shown to have different impacts on the behavior. Also, we study the possibility of using alternative absorber materials to reduce the phase effects on the defect. Based on the mask near field distribution, energy confinement and phase accumulation can be reduced with new absorber materials. The defect sensitivity is reduced and the peak signal position is closer to the best focus due to less material-induced phase. Moreover, the novel pupil engineering method can utilize the phase induced by the material to improve the defect sensitivity of absorber defect by adding lens phase shifts in the pupil plane. At least 29% enhancement of the absorber defect signal at focus can be achieved by optimum lens phase shifts.


Proc. SPIE | 2013

Simulation analysis of LER and dose tradeoffs for EUV resist with photo-decomposable quencher

Suchit Bhattarai; Andrew Neureuther; Patrick Naulleau

The SuMMIT stochastic simulator has been used to conduct a simulation study of photo-decomposable quencher (PDQ) based EUV resists and performance comparison between PDQ resists and conventional quencher (regQ) resists analyzed from the standpoint of dose and LER metrics. The dose and LER Tradeoffs have been analyzed as a function of base loading, base diffusion lengths and relative deprotection/quenching rates. About 3.5% LER improvements with PDQ has been predicted at a dose of 15 mJ/cm2 with base loaded at 20% of PAG loading, for 25 nm half-pitch line-space patterns. Dose savings of 2 mJ/cm2 and LER improvement of 0.1 nm between regQ and PDQ resists are predicted with a base diffusion length equal to the acid diffusion length of 10 nm, and base loaded at 30% of PAG loading. Dose improvements of 1 mJ/cm2 for equal regQ and PDQ LERs of 3.5 nm is possible at a deprotection rate that is half as fast as the acid/base quenching rate of 10 nm3/s. Improvement in the deprotection gradient is found to be the dominant factor behind lower PDQ LERs, while the difference in deprotection noise between conventional quenchers and PDQs is found to be marginal.

Collaboration


Dive into the Andrew Neureuther's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Yow-Gwo Wang

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Stuart Sherwin

University of California

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Rikon Chao

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Ryan Miyakawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge