Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hans Hartmann is active.

Publication


Featured researches published by Hans Hartmann.


Journal of Vacuum Science & Technology B | 1993

PROXECCO—Proximity effect correction by convolution

Hans Eisenmann; Thomas Waas; Hans Hartmann

In electron‐beam lithography the proximity effect limits achieved resolution. A possible approach for proximity effect correction is the transform based method which uses deconvolution. This method requires high computing power and data reduction algorithms because of the small grid size. The fine grid is mainly needed for preserving pattern, not for the resolution of the correction. The new method called PROXECCO avoids this disadvantage by separating the calculation into correction related and pattern related steps. The grid size is spatially independent from pattern and can be as coarse as needed for correction. This results in a quadratical gain in computing time for the transformation. The pattern dimensions are kept exactly maintained and data reduction is not needed anymore.


defect and fault tolerance in vlsi and nanotechnology systems | 1995

AFFCCA: a tool for critical area analysis with circular defects and lithography deformed layout

Igor Bubel; Wojciech Maly; Thomas Waas; Pranab K. Nag; Hans Hartmann; Doris Schmitt-Landsiedel; Susanne Griep

This paper describes the AFFCCA (Accurate, Fast, Flexible Computation of Critical Area) tool. The algorithms implemented in AFFCCA can handle arbitrary geometry, defects causing shorts of arbitrary shapes, and a spectrum of process induced layout deformations. The presented results indicate that the unique features of AFFCCA allow for significant improvements in the accuracy of critical area computations.


Microelectronic Engineering | 1995

Proximity correction for high CD accuracy and process tolerance

T. Waas; Hans Eisenmann; O. Völlinger; Hans Hartmann

Among the most important parameters in direct e-beam writing of ASICs is the control of linewidth. There are mainly two factors which endanger CD control: the proximity effect and topography on the wafer. For best possible CD beneath proximity correction the influence of topography on the linewidth must be respected. This paper presents an extension to the proximity correction package PROXECCO, which is designed to make CD deviations as insensitive as possible to dose errors over an estimated +/-20% range. The applied method, printed results and measurements are presented.


Electron-Beam, X-Ray, and Ion-Beam Submicrometer Lithographies for Manufacturing IV | 1994

Proximity correction of high-dosed frame with PROXECCO

Hans Eisenmann; Thomas Waas; Hans Hartmann

Usefulness of electron beam lithography is strongly related to the efficiency and quality of methods used for proximity correction. This paper addresses the above issue by proposing an extension to the new proximity correction program PROXECCO. The combination of a framing step with PROXECCO produces a pattern with a very high edge accuracy and still allows usage of the fast correction procedure. Making a frame with a higher dose imitates a fine resolution correction where the coarse part is disregarded. So after handling the high resolution effect by means of framing, an additional coarse correction is still needed. Higher doses have a higher contribution to the proximity effect. This additional proximity effect is taken into account with the help of the multi-dose input of PROXECCO. The dose of the frame is variable, depending on the deposited energy coming from backscattering of the proximity. Simulation proves the very high edge accuracy of the applied method.


Emerging lithographic technologies. Conference | 1999

Geometrical correction of the e-beam proximity effect for raster scan systems

Nikola Belic; Hans Eisenmann; Hans Hartmann; Thomas Waas

Increasing demands on pattern fidelity and CD accuracy in e- beam lithography require a correction of the e-beam proximity effect. The new needs are mainly coming from OPC at mask level and x-ray lithography. The e-beam proximity limits the achievable resolution and affects neighboring structures causing under- or over-exposion depending on the local pattern densities and process settings. Methods to compensate for this unequilibrated does distribution usually use a dose modulation or multiple passes. In general raster scan systems are not able to apply variable doses in order to compensate for the proximity effect. For system of this kind a geometrical modulation of the original pattern offers a solution for compensation of line edge deviations due to the proximity effect. In this paper a new method for the fast correction of the e-beam proximity effect via geometrical pattern optimization is described. The method consists of two steps. In a first step the pattern dependent dose distribution caused by back scattering is calculated by convolution of the pattern with the long range part of the proximity function. The restriction to the long range part result in a quadratic sped gain in computing time for the transformation. The influence of the short range part coming from forward scattering is not pattern dependent and can therefore be determined separately in a second step. The second calculation yields the dose curve at the border of a written structure. The finite gradient of this curve leads to an edge displacement depending on the amount of underground dosage at the observed position which was previously determined in the pattern dependent step. This unintended edge displacement is corrected by splitting the line into segments and shifting them by multiples of the writers address grid to the opposite direction.


15th European Conference on Mask Technology for Integrated Circuits and Microcomponents '98 | 1999

Geometrical E-beam proximity correction for raster scan systems

Nikola Belic; Hans Eisenmann; Hans Hartmann; Thomas Waas

High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.


16th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2000

Cluster tool for photomask inspection and qualification at 150-nm design rules and beyond

Kai Peter; Volodymyr Ordynskyy; Christoph Dolainsky; Hans Hartmann; Hans-Juergen Brueck

The reduction of the wave length in the optical lithography in combination with mask enhancement techniques like phase shift pattern, optical proximity correction (OPC) or off- axis illumination requires a rapid increase in measurement accuracy and cost effective qualification of advanced photo masks. The knowledge about the impact of CD deviations, loss of pattern fidelity--especially of OPC structures--and mask defects on wafer level in more and more essential for mask qualification.


16th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2000

High-precision mask fabrication for deep-x-ray lithography

A. Schmidt; Gerhard Himmelsbach; Regina Luettge; Dieter Adam; Falk Hoke; Hartmut Schacke; Nikola Belic; Hans Hartmann; Frank Burkhard; Hermann Wolf

Deep X-ray lithography with synchrotron radiation represents the primary process step of the LIGA technique, by means of which high volume production of micro-mechanical, micro- optical and micro-fluidic components becomes possible. We report on a new approach where the direct patterning of an intermediate mask has been performed by an upgraded Leica ZBA23 shaped beam electron writer with an acceleration voltage of 40 kV. Optimized development and exposure processes as well as the use of particularly performed proximity correction methods allowed to product feature sizes down to 0.4 micrometers . Taking CD-values of the final gold absorber structure as a target, an optimized parameter set has been found to manufacture periodic lines-and-spaces structures of 1.5 micrometers width with an accuracy of 0.18 micrometers per edge which were written into 2 micrometers thick PMMA resist.


Archive | 1999

Procedure for generating information for producing a pattern defined by design information

Thomas Waas; Hans Hartmann


Archive | 1998

Method of generating data for the production of a structure defined by design data, e.g. for electron beam lithography, overcomes some disadvantages with respect to increase in layout complexity and data quantity to be processed

Thomas Waas; Hans Hartmann

Collaboration


Dive into the Hans Hartmann's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Pranab K. Nag

Carnegie Mellon University

View shared research outputs
Top Co-Authors

Avatar

Wojciech Maly

Carnegie Mellon University

View shared research outputs
Researchain Logo
Decentralizing Knowledge