Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hartmut Enkisch is active.

Publication


Featured researches published by Hartmut Enkisch.


Proceedings of SPIE | 2007

Enhanced reflectance of interface engineered Mo/Si multilayers produced by thermal particle deposition

Andrey Yakshin; R. W. E. van de Kruijs; I. Nedelcu; E. Zoethout; E. Louis; Frederik Bijkerk; Hartmut Enkisch; Stephan Müllender

A new deposition technique that builds on the thermal particle characteristics typical for e-beam deposition is described. This technique applies magnetron sputtering in a special scheme where these characteristics of the e-beam deposition method are achieved. The method was used for interface engineering of Mo/Si multilayers, with different barrier layer materials being tested. Composition of the barrier layers formed was studied using XPS. Results are shown on the general example of a Mo/B4C/Si/B4C system. The ultra-thin reflectance enhancement B4C barriers can be deposited with low added stress, resulting in a multilayer stress as low as about -150 MPa. The best interface engineered multilayers reflect 70.5% at 13.3 nm and 70.15% at 13.5 nm. These results were achieved with 50 period multilayers terminated with a standard Si layer.


Advances in Optical Thin Films III | 2008

Coatings for next generation lithography

C. Zaczek; Stephan Müllender; Hartmut Enkisch; F. Bijkerk

The latest generation of 193nm immersion lithography optics, with a numerical aperture (NA) of 1.35 and ultra pure water as immersion fluid serves the 45nm node on the ITRS roadmap. The potential solutions for the next step, the 32nm node, as presented in December 2007 by the ITRS are: 193nm double patterning / exposure, 193nm with 2nd generation fluid and EUVL. The performance of such next generation lithography optics is increasingly driven by the coating performance. For 193nm the performance of the antireflection and high reflection coatings is driven by the increasing NA, which requires the control of polarisation effects and transmission uniformity over light incidence angles. For EUV only high reflection coatings are needed and the NA is comparatively small. But the performance is limited by higher absorption and lower refractive index contrasts of the applicable coating materials at 13.5nm with respect to 193nm. In this talk we discuss and compare the different requirements and challenges in coating material, design, process, lifetime and accuracy for next generation lithography optics.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Multilayer optics with spectral purity layers for the EUV wavelength range

E. Louis; R. W. E. van de Kruijs; Andrey Yakshin; S. Alonso van der Westen; Frederik Bijkerk; M. M. J. W. van Herpen; D. J. W. Klunder; Leon Bakker; Hartmut Enkisch; Stephan Müllender; M. Richter; Vadim Yevgenyevich Banine

Reported are the first calculations and experimental results of the deposition of EUV multilayer coatings that actively suppress the reflectance in the VUV wavelength range. In the undesired 100-200 nm band a factor of five reduction was achieved for one single optical element, while only a minor loss of 4.5% reflectance for λ = 13.5 nm, the operating wavelength of EUVL, was found.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Characterization of large off-axis EUV mirrors with high accuracy reflectometry at PTB

Christian Laubis; Christian Buchholz; Andreas Fischer; Sven Plöger; Frank Scholz; Heike Wagner; Frank Scholze; Gerhard Ulm; Hartmut Enkisch; Stephan Müllender; Marco Wedowski; Eric Louis; E. Zoethout

CZ SMT AG produced large off-axis EUV mirrors as they are used e.g. in ASMLs alpha demo tools, the predecessor for Extreme Ultraviolet Lithography (EUVL) production tools by ASML. The coating development and a large part of the actual coatings were done by the FOM-Institute. The Physikalisch-Technische Bundesanstalt (PTB) operates an EUV reflectometry facility at the electron storage ring BESSY II for at-wavelength metrology of full-size EUVL optics with a weight of up to 50 kg and a diameter of 550 mm. Critical issues for EUVL mirrors are the high reflectivity close to the theoretical limit, the matching of the period to the operating wavelength of the stepper (13.5 nm) and the imaging properties of the EUV optics. The full multilayer stack needs to be controlled laterally to such extend that the initial sub-nanometre surface figure of the substrate is preserved. The so-called added figure error should not exceed 100 pm in order to ensure faultless imaging at 13.5 nm wavelength. Here, we discuss representative results obtained at large off-axis EUV mirrors. We especially discuss the challenges of measurements at higher local angles of incidence according to the optical design and the accuracy needed in sample alignment for measurement of the coating profiles. PTB has shown excellent reproducibility for measurements of the near normal incidence reflectance of flat homogeneous mirrors over several years. For large off-axis EUV mirrors, measurements have to be done at angles significantly off normal, which dramatically increases the influence of angular alignment errors of the sample on the measured peak wavelength. Furthermore, according to the optical design, these optics have gradients of the coating thickness which require exact knowledge of the measurement position in the mirror coordinates. Extensive studies were done to estimate and validate the uncertainties connected to the sample alignment. Our results clearly show that it is possible to meet and verify the tight specifications for the lateral coating profiles of EUV multilayer mirrors. The non-correctable added figure error is significantly better than required and the overall reflectance of the coatings with a special protective capping layer is 65%.


Measurement Science and Technology | 2007

Characterization of the measurement uncertainty of a laboratory EUV reflectometer for large optics

Frank Scholze; Thomas Böttger; Hartmut Enkisch; Christian Laubis; Ludwig van Loyen; Fritz Macco; Stefan Schädlich

Quality assurance for the production of optical components for extreme ultraviolet lithography (EUVL) strongly requires at-wavelength metrology. Initially, the at-wavelength characterization of mirrors and masks was developed using synchrotron radiation of electron storage rings, e.g. BESSY II. For the production process of EUV optics, however, the immediate access to metrology tools is necessary and the availability of laboratory devices is mandatory. Recently, an EUV reflectometer (EUVR) for large samples has been put into operation. It consists of a laser-produced plasma (LPP) radiation source, a monochromator and a large goniometer system. It can handle samples with diameters of up to 500 mm, thicknesses of up to 200 mm and weights of up to 30 kg. The wavelength can be varied from 10 nm to 16 nm. The spot size on the sample surface is about 2 mm in both directions. The angle of incidence can be varied from 3° to grazing incidence. In this paper, we describe the measurement uncertainties achieved in the EUVR with reference to measurements by the Physikalisch-Technische Bundesanstalt at its soft x-ray radiometry beamline at BESSY II. Using appropriate reference points for the wavelength calibration of the monochromator, the absolute wavelength uncertainty is below 4 pm in the spectral range from 11 nm to 15 nm. The measured peak reflectance of multilayer mirrors shows a constant offset of about 1% absolute and is reproduced within 0.2%.


Emerging Lithographic Technologies IX | 2005

Multilayer coatings for the EUVL Process Development Tool

E. Louis; E. Zoethout; R. W. E. van de Kruijs; I. Nedelcu; Andrey Yakshin; S. A. van der Westen; T. Tsarfati; Frederik Bijkerk; Hartmut Enkisch; S. Muellender

Reported is a summary of the coating of three elements of the illuminator and three of the projection optics of the EUVL Process Development Tool. The coating process used is e-beam evaporation in combination with low energy ion beam smoothening. The reflectance of the coatings, which are covered with a special protective capping layer, is typically around 65% and the non correctable figure error that is added by the full multilayer stack is controlled to better than 15 picometer.


Optics Express | 2016

Role of heat accumulation in the multi-shot damage of silicon irradiated with femtosecond XUV pulses at a 1 Mhz repetition rate

R. Sobierajski; Iwanna Jacyna; Piotr Dłużewski; Marcin T. Klepka; D. Klinger; J.B. Pełka; T. Burian; V. Hajkova; L. Juha; Karel Saksl; Vojtěch Vozda; Igor Alexandrovich Makhotkin; Eric Louis; Bart Faatz; Kai Tiedtke; S. Toleikis; Hartmut Enkisch; Martin Hermann; Sebastian Strobel; R.A. Loch; J. Chalupsky

The role played by heat accumulation in multi-shot damage of silicon was studied. Bulk silicon samples were exposed to intense XUV monochromatic radiation of a 13.5 nm wavelength in a series of 400 femtosecond pulses, repeated with a 1 MHz rate (pulse trains) at the FLASH facility in Hamburg. The observed surface morphological and structural modifications are formed as a result of sample surface melting. Modifications are threshold dependent on the mean fluence of the incident pulse train, with all threshold values in the range of approximately 36-40 mJ/cm2. Experimental data is supported by a theoretical model described by the heat diffusion equation. The threshold for reaching the melting temperature (45 mJ/cm2) and liquid state (54 mJ/cm2), estimated from this model, is in accordance with experimental values within measurement error. The model indicates a significant role of heat accumulation in surface modification processes.


Proceedings of SPIE | 2005

Enhanced performance of EUV multilayer coatings

Eric Louis; Andrey E. Yakshin; E. Zoethout; R. W. E. van de Kruijs; I. Nedelcu; S. A. van der Westen; T. Tsarfati; Fred Bijkerk; Hartmut Enkisch; Stephan Müllender; Bas Wolschrijn

Reported is a summary of the development of EUV Mo/Si multilayer coating technology. Though the results are developed for application in Extreme Ultraviolet Lithography, they are of a broader relevance including optics for astronomy. The coating process used consists of electron beam evaporation in combination with low energy ion beam smoothening. The radiation hardness of these coatings is discussed and methods to reduce the multilayer induced substrate stress. The reflectance of the coatings, which are covered with a special protective capping layer, is typically around 65%, while the non correctable figure error added by the full multilayer stack is controlled to better than 15 picometer.


Emerging Lithographic Technologies VIII | 2004

Subatomic accuracy in EUVL multilayer coatings

E. Zoethout; P. Suter; R. W. E. van de Kruijs; Andrey E. Yakshin; Eric Louis; Fred Bijkerk; Hartmut Enkisch; S. Muellender

Reported is the production of multilayer EUV coatings on 25000 mm2 large mirror substrates using e-beam based deposition. The accuracy achieved over the full area and the full multilayer stack amounts to an added figure error of 0.02 nm, i.e. in the sub-atomic distance range, thus meeting the future requirements on EUV coating technology.


Optics Express | 2018

Mechanism of single-shot damage of Ru thin films irradiated by femtosecond extreme UV free-electron laser

Igor Milov; Igor Alexandrovich Makhotkin; R. Sobierajski; Nikita Medvedev; Vladimir Lipp; J. Chalupský; Jacobus Marinus Sturm; Kai Tiedtke; Gosse Charles De Vries; Michael Störmer; Frank Siewert; Robbert Wilhelmus Elisabeth van de Kruijs; Eric Louis; Iwanna Jacyna; M. Jurek; L. Juha; V. Hajkova; Vojtěch Vozda; T. Burian; Karel Saksl; Bart Faatz; Barbara Keitel; Elke Plönjes; Siegfried Schreiber; S. Toleikis; R.A. Loch; Martin Hermann; Sebastian Strobel; Han-Kwang Nienhuys; Grzegorz Gwalt

Ruthenium is a perspective material to be used for XUV mirrors at free-electron laser facilities. Yet, it is still poorly studied in the context of ultrafast laser-matter interaction. In this work, we present single-shot damage studies of thin Ru films irradiated by femtosecond XUV free-electron laser pulses at FLASH. Ex-situ analysis of the damaged spots, performed by different types of microscopy, shows that the weakest detected damage is surface roughening. For higher fluences we observe ablation of Ru. Combined simulations using Monte-Carlo code XCASCADE(3D) and the two-temperature model reveal that the damage mechanism is photomechanical spallation, similar to the case of irradiating the target with optical lasers. The analogy with the optical damage studies enables us to explain the observed damage morphologies.

Collaboration


Dive into the Hartmut Enkisch's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Eric Louis

MESA+ Institute for Nanotechnology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

R. W. E. van de Kruijs

MESA+ Institute for Nanotechnology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge