Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Haruo Okano is active.

Publication


Featured researches published by Haruo Okano.


Applied Physics Letters | 1993

Self‐aligned passivation on copper interconnection durability against oxidizing ambient annealing

Hitoshi Itow; Yasushi Nakasaki; Gaku Minamihaba; Kyoichi Suguro; Haruo Okano

A self‐aligned niobium (Nb) passivation method has been developed in order to improve the stability of copper (Cu) in an oxidizing ambient. A Cu/Nb/SiO2/(100)Si structure was annealed between 400 and 850 °C for 30 min in a gas mixture of H2 and N2. The underlying Nb diffused to the Cu surface and turned into its nitride at 750 °C. The surface Nb nitride layer acted as a passivation layer against oxidation. The passivated Cu was found to retain its resistivity of 2.0 μΩ cm even after oxidation at 400 °C for 30 min in a dry oxygen ambient.


Japanese Journal of Applied Physics | 1985

Photo-Excited Etching of Poly-Crystalline and Single-Crystalline Silicon in Cl2 Atmosphere

Haruo Okano; Yasuhiro Horiike; Makoto Sekine

Poly-Si etching under Hg-Xe lamp irradiation in a Cl2 atmosphere was investigated. It was found that n+ poly-Si is etched by chemical reaction with Cl radicals photodissociated in the gas phase, while undoped and p+ poly-Si cannot be etched without irradiation by UV light. The primary effect of the photoirradiation is to produce electrons arising from electron-hole pair generation. The etch rates, etched features and etching products depend strongly on the electron concentration in the conduction band. The experimental results are explained by assuming that electron-attached Cl- ions penetrate into the Si lattice.


Journal of Applied Physics | 1993

Damage‐free selective etching of Si native oxides using NH3/NF3 and SF6/H2O down‐flow etching

Hirotaka Nishino; Nobuo Hayasaka; Haruo Okano

Damage‐free selective etching of Si native oxides against Si has been achieved by NH3/NF3 and SF6/H2O down‐flow etching. In the NH3/NF3 etching, the wafer was covered with a film, and after its removal by heating above 100 °C, only SiO2 was found to be etched with an extremely high selectivity with respect to Si. Selective etching of Si oxides has also been obtained for SF6/H2O microwave discharge. In this case, a film of liquid solution containing HF and H2SOx is considered to form on the wafer surface. The selective etching of SiO2 takes place by the dissolved HF just as in the wet etching by an HF solution. The mechanisms of these selective reactions are discussed in detail based on the covalency of Si and SiO2 bondings.


Japanese Journal of Applied Physics | 1981

High-Rate Reactive Ion Etching of SiO2 Using a Magnetron Discharge

Yasuhiro Horiike; Haruo Okano; Takashi Yamazaki; Hiromichi Horie

A magnetron discharge has been used for high-rate reactive ion etching. A SiO2 wafer situated on the cathode was etched by scanning a rectangular permanent magnet under the cathode coupled with a power of 13.56 MHz which generated an intense plasma loop over the cathode. The SiO2 etch rate of 1.1 µm/min and the SiO2/Si selective ratio of 9.5 was achieved by employing CHF3 under a magnetic field of 1 k Gauss and an input rf power of 1.6 W/cm2. This condition lowers the ion bombarding voltage of 80 A on the Si surface. The plasma scanning and an electrostatic chacking of the wafer to the wafer-cooled cathode produces an anisotropic etched feature without photoresist degradation.


Japanese Journal of Applied Physics | 1995

A New High-Density Plasma Etching System Using A Dipole-Ring Magnet

Makoto Sekine; Masaki Narita; Keiji Horioka; Yukimasa Yoshida; Haruo Okano

A new high-density plasma etching system has been developed using a dipole-ring magnet (DRM). The system utilizes a parallel magnetic field up to 600 G with excellent uniformity extending over 250 mm in diameter. The nonuniformity of plasma was compared with that of a conventional permanent-magnet-enhanced plasma using a gate oxide integrity test. The plasma generated using DRM produced no gate oxide degradation, while the conventional magnetron plasma produced some gate oxide degradation under the most highly accelerated conditions. Si etch rate is shown to depend strongly on magnetic field strength, increasing from 1.3 µm/min at 120 G to 2.1 µm/min at 600 G.


Japanese Journal of Applied Physics | 1992

SiO2 Tapered Etching Employing Magnetron Discharge of Fluorocarbon Gas

Tokuhisa Ohiwa; Keiji Horioka; Tsunetoshi Arikado; Isahiro Hasegawa; Haruo Okano

SiO2 tapered etching has been studied with special emphasis on the substrate temperature. A tapered etching profile was formed accompanying a polymer deposition on the side wall, and a high etching rate was obtained by lowering the substrate temperature. The polymer film deposited on the side wall was easily removed together with photoresist by O2 plasma ashing to yield a very smooth side wall in the via hole without any residual films. Experiments on polymer deposition revealed that the polymerization at as low a temperature as -70°C gives a fluorine-rich polymer film with poor durability in a plasma environment, and the etchants for SiO2 are released by ion bombardments at the interface between the polymer and the underlying SiO2 to enhance SiO2 etching.


Japanese Journal of Applied Physics | 1988

Single Silicon Etching Profile Simulation

Tsunetoshi Arikado; Keiji Horioka; Makoto Sekine; Haruo Okano; Yasuhiro Horiike

Single Si etching profile by Cl2 and a mixture of Cl2 and CHF3 are discussed in terms of experimentation and simulation. A microprobe Auger analysis of a trench side wall has proven that the bombardment of obliquely impinging ions to a side wall leads to both concave and tailed features. In the case of a mixture of Cl2 and CHF3, the polymer film produced by CHF3 protects the side wall from species impinging from an inclined direction. Furthermore, the difference in the polymer sputtering rate, resulting from subsequently impinging ions between the tailed part and the flat bottom regions improves the tailed part to the rectangular bottom. A profile simulation supports the idea that obliquely impinging species, polymer deposition and sputtering of a polymer are important factors in determining the single Si etching profile.


Japanese Journal of Applied Physics | 1991

Mechanism for Initial Stage of Selective Tungsten Growth Employing a WF6 and SiH4 Mixture

Hitoshi Itoh; Naruhiko Kaji; Toru Watanabe; Haruo Okano

Precise control of the interface reaction between adsorbates and the solid surface is now becoming a key issue for thin film growth technology. In this paper, the importance of electronic interaction in selective thin film growth has been demonstrated for the first time for the growth of tungsten films. It has been found that the dissociation of adsorbed molecules due to electron transfer from the substrate plays a crucial role in realizing the selectivity of tungsten growth.


Journal of Applied Physics | 1993

Smoothing of the Si surface using CF4/O2 down‐flow etching

H. Nishino; N. Hayasaka; Keiji Horioka; J. Shiozawa; S. Nadahara; N. Shooda; Y. Akama; A. Sakai; Haruo Okano

Changes in surface morphology have been studied for Si surfaces treated with CF4/O2 down‐flow etching. It has been found that rough Si surfaces can be smoothed and Si trench corners can be rounded off using this CF4/O2 down‐flow etching. A SiFxOy layer is formed on the Si surface etched by a down‐flow discharged CF4/O2 gas mixture in high O2 concentration. A thick SiFxOy layer is formed at the concave part of the surface, which prevents fluorine atoms from reacting with Si. On the other hand, Si etching proceeds fast at the convex part covered with a thin SiFxOy layer. As a result, a rough Si surface is smoothed and trench corners are rounded off. By applying this treatment to a polycrystalline silicon surface, the leakage current of a SiO2 film grown on it is much reduced.


Japanese Journal of Applied Physics | 1993

Thin Film Deposition by Low Energy SiCln+ Beam

Takayuki Sakai; Akira Sakai; Haruo Okano

The reaction between mass-separated low-energy (30 eV) SiCln+ ion beams and Si and SiO2 substrates was studied to investigate the influence of the number of Cl atoms in SiCln species on their deposition characteristics. It has been found that the deposition yield of Si is positive (deposition) at n=1 and it becomes negative (etching) at n=3 on the Si substrate while it is nearly zero on SiO2. In the presence of O2 gas, the deposited species change to silicon oxides and the deposition of SiO2 also takes place on SiO2 with SiCl3+. The etching of Si by SiCl3+, however, takes place and the etching yield was found to be unaffected by O2. These results can be explained if adsorbed Cl atoms are assumed to desorb as SiCl2, leaving either Si or Cl on the substrate depending on the value of n. In the O2 ambience, the preferred bonding of Si with O leads to the deposition of SiO2, except in the case of SiCl3+ on Si where excess Cl atoms react with Si.

Collaboration


Dive into the Haruo Okano's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge