Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hideo Hoshino is active.

Publication


Featured researches published by Hideo Hoshino.


Journal of Vacuum Science & Technology B | 2003

Laser-produced-plasma light source development for extreme ultraviolet lithography

Hiroshi Komori; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Yukihiko Sugimoto; Hiroshi Someya; Hideo Hoshino; Georg Soumagne; Yuichi Takabayashi; Hakaru Mizoguchi; Akira Endo; Koichi Toyoda; Yasuhiro Horiike

The development status of our laser produced plasma EUV light source is reported including the xenon jet system and the 500 W laser system. Laser parameter optimization, for example, laser pulse energy, pulse width, and laser spot size, is ongoing to improve the conversion efficiency and EUV output power. A maximum conversion efficiency of 0.53% is obtained with a 50 μm diam target. The EUV output stability is analyzed based on spatial fluctuations of the Xe jet and the laser beam. In addition, a Xe ion exposure measurement has been started to investigate the collector mirror damage mechanism.


Proceedings of SPIE | 2007

Laser produced EUV light source development for HVM

Akira Endo; Hideo Hoshino; Takashi Suganuma; Masato Moriya; Tatsuya Ariga; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Tamotsu Abe; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We develop a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We achieve an average laser power of 3 kW at 100 kHz with a single laser beam that has very good beam quality. In a first step, a 50-W light source is developing. Based on a 10-kW CO2 laser this light source is scalable to more than 100 W EUV in-band power.


Proceedings of SPIE | 2008

LPP EUV light source employing high power C02 laser

Hideo Hoshino; Takashi Suganuma; Takeshi Asayama; Krzysztof Nowak; Masato Moriya; Tamotsu Abe; Akira Endo; Akira Sumitani

We are developing a high power CO2 laser system for a LPP EUV light source. Recent theoretical and experimental data demonstrate the advantages of the combination of a CO2 laser with a Sn target including the generation of a high CE and low debris plasma with low energy ions and low out-of-band radiation. Our laser system is a short pulse CO2 MOPA (Master Oscillator Power Amplifier) system with 22 ns pulse width and multi kW average power at 100 kHz repetition rate. We achieved an average laser power of 8 kW with a single laser beam having very good beam quality. A EUV in-band power of 60 W at the intermediate focus was generated irradiating a rotating tin plate with 6 kW laser power.


Emerging Lithographic Technologies VIII | 2004

Ion damage analysis on EUV collector mirrors

Hiroshi Komori; Georg Soumagne; Hideo Hoshino; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Akira Endo; Koichi Toyoda

Collector mirror lifetime evaluation and damage prevention are important technical challenge for the EUV light source development. High-energy xenon ions emitted from laser-produced EUV plasmas are expected to considerably damage the collector mirror of the light source. Related to future collector mirror lifetime considerations, fast ions from the laserproduced plasma have been characterized by time-of -flight (TOF) measurements. Using a low repetition rate 8-ns, 100- mJ Nd:YAG laser, Xe+ to Xe6+ ions were observed with Xe2+ being the main charge state. In addition, the effects of fast ions on Mo/Si multilayer mirrors have been studied using a Xe ion gun. Ion sputtering of the multilayer structure is the main damage mechanism but layer boundary mixing and surface roughness increase are also observed. A magnetic confinement scheme is evaluated for ion mitigation.


Emerging Lithographic Technologies IX | 2005

Laser-produced-plasma light source for EUV lithography

Georg Soumagne; Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Hiroshi Komori; Yuichi Takabayashi; Tatsuya Ariga; Yoshifumi Ueno; Yasunori Wada; Akira Endo; Koichi Toyoda

The status of the next generation lithography laser produced plasma light source development at EUVA is presented. The light source is based on a Xenon jet target and a Nd:YAG driver laser. The laser, having a master oscillator power amplifier (MOPA) configuration, operates at 10 kHz repetition rate and generates an average output power of 1.5 kW. The fwhm pulsewidth is 6 ns. The EUV system currently delivers an average EUV source power of 9.1 W (2% bandwidth, 2π sr) with a conversion efficiency of 0.6 %. Based on the development it is concluded that solid-state Nd:YAG laser technology can be cost efficiently used to produce 10 W level EUV light sources. In order to generate an average power of 115 W for a future extreme ultraviolet (EUV) light source, however, the cost of a Nd:YAG based LPP source will be too high. Therefore RF-CO2 laser technology will be used. The designed CO2 driver laser system has a MOPA configuration. The oscillator has ns-order pulsewidth and the laser system operates at a repetition rate of 100 kHz. Due to its inert cleanliness Xenon droplets will be the target material.


Proceedings of SPIE | 2009

Laser-produced plasma source development for EUV lithography

Akira Endo; Hiroshi Komori; Yoshifumi Ueno; Krzysztof Nowak; Yabu Takayuki; Yanagida Tatsuya; Takashi Suganuma; Takeshi Asayama; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Masato Moriya; Toshihiro Nishisaka; Tamotsu Abe; Akira Sumitani; Hitoshi Nagano; Youichi Sasaki; Shinji Nagai; Yukio Watanabe; Georg Soumagne; Takanobu Ishihara; Osamu Wakabayashi; Kouji Kakizaki; Hakaru Mizoguchi

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin droplet target and a magnetic plasma guiding for collector mirror protection. This approach enables cost-effective high-conversion efficiency and EUV power scaling. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved a maximum average laser output power of more than 10 kW at 100 kHz and 20 ns pulse by a single laser beam with good beam quality. EUV in-band power and out-of-band characteristics are measuring with high power CO2 laser and Sn droplet target configuration. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic plasma guiding. Effectiveness of the magnetic plasma guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber. The ion flux from a Sn plasma was confined along the magnetic axis with a maximum magnetic flux density of 2 T.


Proceedings of SPIE | 2008

CO2 laser-produced Sn-plasma source for high-volume manufacturing EUV lithography

Akira Endo; Hideo Hoshino; Takashi Suganuma; Krzysztof Nowak; Tatsuya Yanagida; Takayuki Yabu; Takeshi Asayama; Yoshifumi Ueno; Masato Moriya; Masaki Nakano; Hiroshi Someya; Toshihiro Nishisaka; Tamotsu Abe; Georg Soumagne; Hiroshi Komori; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin target and a magnetic ion guiding for tin treatment. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved an average laser output power of 10 kW at 100 kHz by a single laser beam with good beam quality. EUV in-band power equivalent to 60 W at intermediate focus was produced by irradiating a tin rotating plate with 6 kW laser power. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic ion guiding. Effectiveness of the magnetic ion guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber with a maximum magnetic flux density of 2 T.


Emerging Lithographic Technologies VIII | 2004

Performance of a 10-kHz laser-produced-plasma light source for EUV lithography

Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Georg Soumagne; Hiroshi Komori; Yuichi Takabayashi; Hakaru Mizoguchi; Akira Endo; Koichi Toyoda; Yasuhiro Horiike

The main technological challenge of a future extreme ultraviolet (EUV) light source is the required average power of 115W at the intermediate focus. High repetition rate laser produced plasma (LPP) sources are very promising to face this challenge. We report the current status of the laser produced light source system we started to develop in 2002. The system consists of the following main components: The plasma target is a liquid xenon jet with a maximum diameter of 50 micrometer and a velocity of more than 30 m/s. A Nd:YAG laser oscillating at 1064 nm produces the plasma. The laser is a master oscillator power amplifier (MOPA) configuration with a maximum repetition rate of 10 kHz and an average power of 1kW. The EUV system currently delivers an average EUV in-band power of 4 W (2% bandwidth, 2π sr) having a stability of 0.54 % (1σ, 50-pulse moving average). In order to evaluate a further increase of the repetition rate, xenon jet characteristics and EUV plasma images have been investigated at 10 kHz. In addition, a conversion efficiency of 0.67% (2% bw, 2π sr) has been obtained at low repetition rate operation. This paper presents the progress of our LPP light source development.


Proceedings of SPIE | 2007

CO2 laser-produced Sn plasma as the solution for high-volume manufacturing EUV lithography

Akira Endo; Tamotsu Abe; Hideo Hoshino; Yoshifumi Ueno; Masaki Nakano; Takeshi Asayama; Hiroshi Komori; Georg Soumagne; Hakaru Mizoguchi; Akira Sumitani; Koichi Toyoda

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a short pulse, high power, high repetition rate CO2 master oscillator power amplifier (MOPA) laser system and a Tin droplet target. A maximum conversion efficiency of 4.5% was measured for a CO2 laser driven Sn plasma having a narrow spectrum at 13.5 nm. In addition, low debris generation was observed. The CO2 MOPA laser system is based on commercial high power cw CO2 lasers. We have achieved an average laser power of 7 kW at 100 kHz by a single laser beam with good beam quality. In a first step, a 50-W light source is under development. Based on a 10-kW CO2 laser, this light source is scalable to more than 100 W EUV in-band power.


Emerging Lithographic Technologies VII | 2003

Development of liquid-jet laser-produced plasma light source for EUV lithography

Tamotsu Abe; Takashi Suganuma; Yousuke Imai; Yukihiko Sugimoto; Hiroshi Someya; Hideo Hoshino; Georg Soumagne; Hiroshi Komori; Hakaru Mizoguchi; Akira Endo; Koichi Toyoda

The Extreme UV Lithography System Development Association (EUVA) was established in Japan in May 2002 and is supported by the Ministry of Economy, Trade and Industry (METI). EUVA started the light soruce development in September 2002. This development is done by the assocaition members Gigaphoton, Ushio, Komatsu, Canon, Nikon, the National Institute of Advanced Industrial Sciecne and Technology (AIST) and several Japanese universities. The target of the four-year project is the development of a EUV light source with 10W clean focus point power. For the end of the fiscal year 2003 the development of a 4W EUV light source (clean focus point power) is planned. Both, Laser-Produced-Plasma (LPP) and Discharge-Produced-Plasma (DPP) EUV light sources are investigated at first. Our group at the EUVA Hiratsuka R&D Center is working on LPP sources. We are currently focusing on the development of a driver laser and a liquid Xenon plasma target. The laser is a Nd:YAG MOPA (Master Oscillator and Power Amplifier) system oscillating at 1064 nm. Average power, repetition rate and pulse duration of the laser system are 500 Watt, 10 kHa and 30nsec, respectively. The Xenon liquefication system operates at a maximum pressure of 5MPa and a temperature range between 160 K and 190 K. The pressure inside the vacuum chamber is below 0.1Pa during system operation. This paper presents the current status of the EUV system component development as well as first experimental results of generated EUV radiation.

Collaboration


Dive into the Hideo Hoshino's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge