Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hideo Kusakabe is active.

Publication


Featured researches published by Hideo Kusakabe.


Journal of Vacuum Science & Technology B | 1993

Electron‐beam direct writing system EX‐8D employing character projection exposure method

Kiyoshi Hattori; Ryoichi Yoshikawa; H. Wada; Hideo Kusakabe; T. Yamaguchi; S. Magoshi; A. Miyagaki; Satoshi Yamasaki; Tadahiro Takigawa; M. Kanoh; Shinsuke Nishimura; H. Housai; Susumu Hashimoto

An electron‐beam direct writing system which adopts character projection methods in addition to conventional variable‐shaped beam methods, has been constructed for 0.15 μm class ultra‐large scale integration pattern fabrication. This system is a modified version of our variable‐shaped beam machine. The electron optical system adopts a three stage octapole deflector for a 2 mm field and installs an aperture plate exchange mechanism for character projection. The objective lens system was designed so that the beam resolution is 0.04 μm. An optimization study to write a 1G‐dynamic random access memory pattern with 0.15 μm design rules showed that a preferable character size and number are 2.5 μm and 48, respectively. The writing speed of this system is designed to be 110 s a chip, using the characters for memory cells as well as peripheral circuits. An advanced beam calibration method has been developed for beam current density and for character size, direction, and position. This method effectively adjusts the current density for each character to be the same on wafers. The beam position is accurately corrected by analyzing the obtained beam intensity distribution.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Japanese Journal of Applied Physics | 1989

Triangular Shaped Beam Technique in EB Exposure System EX-7 for ULSI Pattern Formation

Kiyoshi Hattori; Osamu Ikenaga; Hirotsugu Wada; Syuichi Tamamushi; Eiji Nishimura; Naotaka Ikeda; Yoshihide Katoh; Hideo Kusakabe; Ryoichi Yoshikawa; Tadahiro Takigawa

The generation of a triangular and rectangular shaped beam is very useful in increasing throughput for writing ULSI patterns which often include many oblique lines. To make use of these shaped beams in ULSI pattern formation, a new rectangular and triangular shaped beam calibration method has been developed on the EB exposure system EX-7. The shaped beam calibration method is established by analyzing the beam current of shaped beams and the backscattered electron signal from a fine gold particle on the target. Resultant accuracies were 0.013 µm for a beam size of 1.6 µm and 0.025 %micro;m for the relative beam position on the target. Using this method, 0.2 µm ULSI patterns including oblique lines have been accurately formed.


Journal of Vacuum Science & Technology B | 2002

Development of a mask-scan electron beam mask writer

Shinsuke Nishimura; Mitsuko Shimizu; Soichiro Mitsui; Kiminobu Akeno; Hideo Kusakabe; Munehiro Ogasawara; Ryuji Hayashi; Shinji Yanaga; Noboru Kobayashi; Toru Tojo

Mask-scan strategy for writing large complex patterns such as oblique lines and contact holes with assist bar and serif is more effective for decreasing the number of shots than is variable shaped beam (VSB) strategy and character projection strategy. In a simple case, the number of shots for writing the oblique lines can be as small as 1/600 of that of VSB strategy. Oblique parallel lines of 250 nm width and assist bar of 120 nm width were projected using the mask-scan strategy.


Journal of Vacuum Science & Technology B | 1987

A high dose and high accuracy variable shaped electron beam exposure system for quartermicron device fabrication

Ryoichi Yoshikawa; H. Wada; M. Goto; Hideo Kusakabe; O. Ikenaga; S. Tamamushi; M. Ninomiya; Tadahiro Takigawa

An electron beam exposure system, EX‐7, has been developed for advanced device technology development of quartermicron VLSI ICs such as a 64M bit dynamic RAM. The EX‐7 utilizes the variable shaped beam, continuously moving stage and vector scanning concept.1,2 For the quartermicron PMMA (polymethyl‐methacrylate) resist pattern formation, the system can write patterns with a 50 kV acceleration voltage and a 50 μC/cm2 dosage. Double electrostatic octopole deflectors have been developed to assure beam positioning accuracy. Deflection distortion can be reduced to 0.01 μm by an automatic calibration method. The beam edge resolution is 0.125 μm at 1 μm‐square beam size and 200 A/cm2 current density. A newly developed hierarchical pattern definition method has greatly improved data compaction capability and reduced the conversion time from CAD LSI data to EX‐7 data. The data conversion is accomplished by a large scale computer within 15 min for 108 flash/chip VLSI IC pattern data. The throughput of the EX‐7 is 5...


Journal of Vacuum Science & Technology B | 1988

The electron‐beam column for a high‐dose and high‐voltage electron‐beam exposure system EX‐7

Shuichi Tamamushi; H. Wada; Y. Ogawa; I. Sasaki; M. Nakasuji; Hideo Kusakabe; Ryoichi Yoshikawa; Tadahiro Takigawa

The electron optical column is designed for the electron‐beam (EB) exposure system EX‐7 employing a vector scanned variably shaped beam (VSB) on a continuously moving stage. The column, which utilizes a high current density of 200 A/cm2 and a high voltage of 50 kV, has been designed for a 0.25 μm patterning. Generation of triangular shapes in addition to rectangular shapes reduces shot numbers to enhance throughput. An octapole deflector with small deflection distortion, has been developed for beam shaping. The ray tracing method using an analytical expression for the electric and magnetic field was used to design the objective focusing and deflection system, which is composed of a magnetic lens and dual channel electrostatic octapole deflectors. Beam edge resolution including the electron‐beam interaction effect is about 0.12 μm and distortion is <0.01 μm at the final beam convergence semiangle of 8 mrad and field size of 600 μm square. As a result, a 0.25 μm resist pattern has been obtained over 600 μm field. Main deflection field stitching error was <±0.04 μm (3σ) without deflection distortion correction.


Microelectronic Engineering | 2002

Environment-proof writing chamber for next generation electron beam mask writing system

Munehiro Ogasawara; Kiminobu Akeno; R. Hayashi; S. Yanaga; Noboru Kobayashi; Shinsuke Nishimura; Soichiro Mitsui; Mitsuko Shimizu; Hideo Kusakabe; Toru Tojo; S. Yasuda

We have developed an environment-proof writing chamber for an electron beam mask writing system. The chamber is made of low thermal expansion metal. The measured size dependence on the chamber temperature is more than one order less than in the case of iron or stainless steel. The results of a numerical study indicate that this chamber can suppress the influence of environmental temperature change on the positioning error to nanometer level or below. This chamber is suitable for a 70-nm generation mask writing system and beyond.


21st Annual BACUS Symposium on Photomask Technology | 2002

Stage tracking of a mask-scan EB mask writer test stand

Shinsuke Nishimura; Soichiro Mitsui; Munehiro Ogasawara; Kiminobu Akeno; Mitsuko Shimizu; Hideo Kusakabe; Hirotsugu Wada; Kiyoshi Hattori; Shusuke Yoshitake; Naoharu Shimomura; Jun Takamatsu; Hitoshi Sunaoshi; Yuuji Fukudome; Toru Tojo; Seiichi Tsuchiya

A stage tracking function has been developed for a mask-scan EB mask writer. Position error of EB mask on an EB-mask-stage induces position error of projection beam on the EB-mask and the position of a writing pattern. The position of the EB-mask is measured by a laser interferometer. The shift from the aimed position is fed back to a mask selection deflection and a main deflection. The velocity of EB-mask stage and specimen-stage is also fed back to the deflection. The deflection control unit for the stage tracking has been made and the tracking function confirmed from the test memory of the unit. Using the unit, scanning writing patterns have been obtained with step and repeat stage mode.


Archive | 1994

Charged beam drawing apparatus

Tooru Koike; Ichiro Mori; Yuji Takigami; Kazuyoshi Sugihara; Atsushi Miyagaki; Hideo Kusakabe


Archive | 1986

Method for drawing a pattern by charged beam and its apparatus

Mineo Gotou; Hideo Kusakabe

Collaboration


Dive into the Hideo Kusakabe's collaboration.

Researchain Logo
Decentralizing Knowledge