Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kiyoshi Hattori is active.

Publication


Featured researches published by Kiyoshi Hattori.


Journal of Vacuum Science & Technology B | 1993

Electron‐beam direct writing system EX‐8D employing character projection exposure method

Kiyoshi Hattori; Ryoichi Yoshikawa; H. Wada; Hideo Kusakabe; T. Yamaguchi; S. Magoshi; A. Miyagaki; Satoshi Yamasaki; Tadahiro Takigawa; M. Kanoh; Shinsuke Nishimura; H. Housai; Susumu Hashimoto

An electron‐beam direct writing system which adopts character projection methods in addition to conventional variable‐shaped beam methods, has been constructed for 0.15 μm class ultra‐large scale integration pattern fabrication. This system is a modified version of our variable‐shaped beam machine. The electron optical system adopts a three stage octapole deflector for a 2 mm field and installs an aperture plate exchange mechanism for character projection. The objective lens system was designed so that the beam resolution is 0.04 μm. An optimization study to write a 1G‐dynamic random access memory pattern with 0.15 μm design rules showed that a preferable character size and number are 2.5 μm and 48, respectively. The writing speed of this system is designed to be 110 s a chip, using the characters for memory cells as well as peripheral circuits. An advanced beam calibration method has been developed for beam current density and for character size, direction, and position. This method effectively adjusts the current density for each character to be the same on wafers. The beam position is accurately corrected by analyzing the obtained beam intensity distribution.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Japanese Journal of Applied Physics | 1989

Triangular Shaped Beam Technique in EB Exposure System EX-7 for ULSI Pattern Formation

Kiyoshi Hattori; Osamu Ikenaga; Hirotsugu Wada; Syuichi Tamamushi; Eiji Nishimura; Naotaka Ikeda; Yoshihide Katoh; Hideo Kusakabe; Ryoichi Yoshikawa; Tadahiro Takigawa

The generation of a triangular and rectangular shaped beam is very useful in increasing throughput for writing ULSI patterns which often include many oblique lines. To make use of these shaped beams in ULSI pattern formation, a new rectangular and triangular shaped beam calibration method has been developed on the EB exposure system EX-7. The shaped beam calibration method is established by analyzing the beam current of shaped beams and the backscattered electron signal from a fine gold particle on the target. Resultant accuracies were 0.013 µm for a beam size of 1.6 µm and 0.025 %micro;m for the relative beam position on the target. Using this method, 0.2 µm ULSI patterns including oblique lines have been accurately formed.


Photomask and Next-Generation Lithography Mask Technology IX | 2002

Solution for 100 nm: EBM-4000

Yoshiaki Hattori; Kiyoshi Hattori; Kenichi Murooka; Takayuki Abe; Satoshi Yasuda; Taiga Uno; Eiji Murakami; Noriaki Nakayamada; Naoharu Shimomura; Ttsuyoshi Yamashita; Noboru Yamada; Akihiro Sakai; Hirohiko Honda; Toshiaki Shimoyama; Kiyoshi Nakaso; Hideo Inoue; Yoshiaki Onimaru; Keiichi Makiyama; Yoji Ogawa; Tadahiro Takigawa

Optical lithography will be extended down to 65nm to 50 nm. However, a mask with high accurate CD uniformity and resolution enhancement technology (RET) such as optical proximity effect correction (OPC) and phase shifting mask (PSM) are required to achieve resolution by exposure wave length. The mask technology is the key of the optical lithography extension. We developed the electron beam mask writer EBM-3000 for 180-150nm design rule 1), 2), and EBM-3500 for 150-130nm design rule 3), to achieve high accuracy CD uniformity mask and small OPC pattern writing. They were variable shaped electron beam mask writing system with continuous moving stage, at 50kV acceleration voltage, and had the functions of multi-pass field shift writing, real-time proximity effect correction, grid matching correction, and automatic adjustment for election optical column.The LSI road map calls for such small minimum feature size as that so close to optical resolution limitation where increasingly complex optical proximity corrections (OPC) as well as extremely good mask CD uniformity are required. What is making the challenge even more difficult is that writing time is exponentially increasing as the shot number is exploding to primarily cope with the complex and voluminous OPC and extremely good CD uniformity requirements. Thus the newly developed electron beam mask lithography system EBM-4000 is designed to overcome all these difficult problems associated with 100nm as well as 70nm node masks. In order to increase throughput, triangle/rectangle beam optical column, high current density/high resolution lens, and high speed DAC amplifiers have been developed. To achieve accurate CD uniformity, foggy electron correction/loading effect correction functions are developed.


Japanese Journal of Applied Physics | 1999

Automatic Focusing and Astigmatism Correction Method based on Fourier Transform of Scanning Electron Microscope Images

Munehiro Ogasawara; Yuji Fukudome; Kiyoshi Hattori; Shuichi Tamamushi; Soshi Koikari; Kazunori Onoguchi

A new method for automatic focusing and astigmatism correction of electron optics has been proposed. The method consists of the following 6 steps: (1) Obtain scanning electron microscope (SEM) images i1(x,y), i2(x,y) under two focusing conditions. (2) Obtain Fourier transformed images of i1(x,y) and i2(x,y), I1(kx,ky) and I2(kx,ky). (3) Obtain a characteristic function R(kx,ky)=ln(I1(kx,ky)/I2(kx,ky)). (4) Repeat the above steps under different focusing conditions. (5) From the change in R(kx,ky), calculate the change in beam sharpnesses in different directions. (6) Correct the astigmatism and adjust the focus. R(kx,ky) is independent of the sample shape, and is independent of the beam shape in the case of a character beam. Therefore, this method can be applied both to a SEM and to an electron beam writer with a character beam.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron-beam mask writer EBM-6000 for 45 nm HP node

Jun Yashima; Kenji Ohtoshi; Noriaki Nakayamada; Hirohito Anze; Takehiko Katsumata; Tomohiro Iijima; Rieko Nishimura; Syuuichiro Fukutome; Nobuo Miyamoto; Seiji Wake; Yusuke Sakai; Shinji Sakamoto; Shigehiro Hara; Hitoshi Higurashi; Kiyoshi Hattori; Kenichi Saito; Rodney A. Kendall; Shuichi Tamamushi

In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control, electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly are offered as well. In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to solidly prove the viability of EBM-6000 for 45 nm HP node.


Journal of Vacuum Science & Technology B | 2003

Initial results of a 50 kV electron beam writer EBM-4000 for a 90 nm node photomask

Kenichi Murooka; Kiyoshi Hattori; Osamu Numazu Iizuka

We have developed new 50 kV electron beam writer, EBM-4000, which is designed to fulfill the requirements for the 90 nm node mask. EBM-4000 makes use of the assets of our previous model, but major modifications are carried out on electron optics, writing circuits, and vacuum system. The written patterns are evaluated about CD uniformity, image placement accuracy, and throughput. The results indicate that CD uniformity and image placement accuracy meet the requirements for 90 nm node photomask, and EBM-4000 has a potential to extend its feasibility to 65 nm node photomask with acceptable throughput.


Photomask Japan 2016: XXIII Symposium on Photomask and Next-Generation Lithography Mask Technology | 2016

Multi-beam mask writer MBM-1000 and its application field

Hiroshi Matsumoto; Hideo Inoue; Hiroshi Yamashita; Hirofumi Morita; Satoru Hirose; Munehiro Ogasawara; Hirokazu Yamada; Kiyoshi Hattori

NuFlare has started development of multi-beam mask writer MBM-1000 aiming to apply to N5 and to release in Q4 2017. MBM-1000 is based on large area projection optics with shaping aperture array plate, blanking aperture array (BAA) plate, single cathode and inline/realtime data path for vector data rasterization and bitmap dose correction. It is designed to accomplish higher throughput than EBM series (variable shaped beam (VSB) writers) with massive beam array, higher resolution by using 10-nm beam size and 10-bit dose control, and better writing accuracy with more write passes. Configuration of MBM-1000 and flow of data path processing are described. Write time estimation suggests MBM-1000 has advantage over VSB writer with shot count > 200 Gshot/pass and resist sensitivity >75 μC/cm2. Printing test of 20 nm hp 1:1 line and space pattern with ZEP-520 resist showed better beam resolution of MBM-1000 alpha tool than EBM series.


Proceedings of SPIE, the International Society for Optical Engineering | 2011

EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond

Shusuke Yoshitake; Takashi Kamikubo; Noriaki Nakayamada; Kiyoshi Hattori; Hiroyoshi Ando; Tomohiro Iijima; Kenji Ohtoshi; Kenichi Saito; Ryoichi Yoshikawa; Shuichi Tamamushi; Rikio Tomiyoshi; Hitoshi Higurashi; Yoshiaki Hattori; Seiichi Tsuchiya; Masayuki Katoh; Kouichi Suzuki; Yuichi Tachikawa; Munehiro Ogasawara; Victor Katsap; Steven D. Golladay; Rodney A. Kendall

In the half pitch (hp) 16nm generation, the shot count on a mask is expected to become bipolar. The multi-patterning technology in lithography seems to maintain the shot count around 300G shots instead of increase in the number of masks needed for one layer. However, as a result of mask multiplication, the better positional accuracy would be required especially in Mask-to-Mask overlay. On the other hand, in complex OPC, the shot count on a mask is expected to exceed 1T shots. In addition, regardless of the shot count forecast, the resist sensitivity needs to be lower to reduce the shot noise effect so as to get better LER. In other words, slow resist would appear on main stream, in near future. Hence, such trend would result in longer write time than that of the previous generations. At the same time, most mask makers request masks to be written within 24 hours. Thus, a faster mask writer with better writing accuracy than those of previous generations is needed. With this background, a new electron beam mask writing system, EBM- 9000, has been developed to satisfy such requirements of the hp 16nm generation. The development of EBM-9000 has focused on improving throughput for larger shot counts and improving the writing accuracy.


Japanese Journal of Applied Physics | 1996

Contamination Charging up Effect in a Variably Shaped Electron Beam Writer

Atsushi Ando; Hitoshi Sunaoshi; Shinji Sato; Shunko Magoshi; Kiyoshi Hattori; Machiko Suenaga; Hirotsugu Wada; Hiroaki Housai; Susumu Hashimoto; Kazuyoshi Sugihara

We investigated the beam drift on the second shaping aperture caused by the charging up of contamination layers on shaping deflector surfaces in an EX-8D variably shaped beam (VSB) writer. A surface charging model which is based on the movement of the secondary electron (SE) charge-up area in a deflector in response to the direction and strength of the field was adapted to explain the beam drift phenomenon. It was found that SE charge-up causes the beam drift and its amount depends on the amount of SEs moving between the electrodes. It was also found that by removing the contamination layers using a downflow cleaning process the beam drift is significantly reduced even if SEs move between the electrodes.

Collaboration


Dive into the Kiyoshi Hattori's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Atsushi Ando

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge