Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mitsuko Shimizu is active.

Publication


Featured researches published by Mitsuko Shimizu.


Japanese Journal of Applied Physics | 2007

High-Accuracy Proximity Effect Correction for Mask Writing

Takayuki Abe; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Susumu Oogi; Takashi Kamikubo; Seiichi Tsuchiya; Mitsuko Shimizu; Kazuto Matsuki; Hideo Inoue; Toru Tojo; Tadahiro Takigawa

A high-accuracy proximity effect correction method for high-precision masks has been developed to satisfy current and future requirements. In this paper, we explain the primary features of this method and the theories on which it is based. The developed formula for obtaining the optimum correction dose is expressed in the form of either iterations or an infinite series of functions. The advantage of this formula is that it quickly converges to the sought value, bringing about high-accuracy proximity effect correction with a high calculation speed. A coarse graining method (covering pattern density and representative figure methods) for reducing calculation time is explained. This method has been adopted for an EX-11 series and has been used for mask writing from the 180 nm design rule onward.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Journal of Vacuum Science & Technology B | 2002

Development of a mask-scan electron beam mask writer

Shinsuke Nishimura; Mitsuko Shimizu; Soichiro Mitsui; Kiminobu Akeno; Hideo Kusakabe; Munehiro Ogasawara; Ryuji Hayashi; Shinji Yanaga; Noboru Kobayashi; Toru Tojo

Mask-scan strategy for writing large complex patterns such as oblique lines and contact holes with assist bar and serif is more effective for decreasing the number of shots than is variable shaped beam (VSB) strategy and character projection strategy. In a simple case, the number of shots for writing the oblique lines can be as small as 1/600 of that of VSB strategy. Oblique parallel lines of 250 nm width and assist bar of 120 nm width were projected using the mask-scan strategy.


international microprocesses and nanotechnology conference | 1997

Proximity Effect Correction For Electron Beam Lithography: Highly Accurate Correction Method

Takashi Kamikubo; Takayuki Abe; Susumu Oogi; Hiroto Anze; Mitsuko Shimizu; Masamitsu Itoh; Tetsuro Nakasugi; Tadahiro Takigawa; Tomohiro Iijima; Yoshiaki Hattori; Toru Tojo

A new formula for proximity effect correction is discussed. The formula is represented by a series expansion. When infinite terms are used, the formula gives accurate optimum correction doses. The correction accuracy of the new formula is evaluated for the worst case scenario and compared with the conventional formula. It is shown that (1) the new formula suppresses correction errors to less than 0.5% for the deposited energy and (2) dimensional errors are less than 4 nm, even if only the first 3 terms are calculated for critical patterns. By using the new formula, the proximity effect correction can be carried out with sufficient accuracy, even for making reticles of 1 Gbit or higher-capacity DRAMs.


international microprocesses and nanotechnology conference | 1998

High-Speed Convolution System For Real-Time Proximity Effect Correction

Susumu Oogi; Takiji Ishimura; Takashi Kamikubo; Mitsuko Shimizu; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Takayuki Abe; Toru Tojo; Tadahiro Takigawa

In order to realize a real-time proximity effect correction system, a high-speed, highly accurate hardware system for convolution calculation has been developed. The representative figure method is used in the system. Pipeline architecture and parallel processing architecture are also used. The calculation speed of the system is 500 s for a writing region of 10 ×10 cm. The optimum correction dose has been evaluated using the output data of the convolution system. The error in the correction dose caused by our system is found to be 0.5% at most. These results suggest that a real-time proximity effect correction system can be realized, which can be used for making reticles of Gbit-class dynamic ramdom access memories (DRAMs).


Photomask and x-ray mask technology. Conference | 1997

Proximity effect correction for reticle fabrication

Takashi Kamikubo; Takayuki Abe; Susumu Oogi; Hirohito Anze; Mitsuko Shimizu; Masamitsu Itoh; Tetsuro Nakasugi; Tomohiro Iijima; Yoshiaki Hattori

Proximity effect correction is a key technology for fabricating reticles by electron beam writing systems. To write patterns of 1 Gbit or higher-capacity DRAMs, the dimensional accuracy required for the correction is better than about 10 nm. Conventional methods do not have sufficient accuracy at the position where pattern density changes sharply. We propose a new correction method with higher accuracy for various patterns and show that we can achieve corrections accurate to about 5 nm.


Proceedings of SPIE | 2014

The prospects of design for roll to roll lithography: layout refinement utilizing process simulation

Sachiko Kobayashi; Mitsuko Shimizu; Satoshi Tanaka; Yohko Furutono; Masayuki Hatano; Kazuto Matsuki; Ryoichi Inanami; Shoji Mimotogi

Directed self-assembly (DSA) of block copolymers (BCPs) is a promising method for producing the sub-20nm features required for future semiconductor device scaling, but many questions still surround the issue of defect levels in DSA processes. Knowledge of the free energy associated with a defect is critical to estimating the limiting equilibrium defect density that may be achievable in such a process. In this work, a coarse grained molecular dynamics (MD) model is used to study the free energy of a dislocation pair defect via thermodynamic integration. MD models with realistic potentials allow for more accurate simulations of the inherent polymer behavior without the need to guess modes of molecular movement and without oversimplifying atomic interactions. The free energy of such a defect as a function of the Flory- Huggins parameter (χ) and the total degree of polymerization (N) for the block copolymer is also calculated. It is found that high pitch multiplying underlayers do not show significant decreases in defect free energy relative to a simple pitch doubling underlayer. It is also found that χN is not the best descriptor for correlating defect free energy since simultaneous variation in chain length (N) and χ value while maintaining a constant χN product produces significantly different defect free energies. Instead, the defect free energy seems to be directly correlated to the χ value of the diblock copolymer used. This means that as higher χ systems are produced and utilized for DSA, the limiting defect level will likely decrease even though DSA processes may still operate at similar χN values to achieve ever smaller feature sizes.


Microelectronic Engineering | 2002

Environment-proof writing chamber for next generation electron beam mask writing system

Munehiro Ogasawara; Kiminobu Akeno; R. Hayashi; S. Yanaga; Noboru Kobayashi; Shinsuke Nishimura; Soichiro Mitsui; Mitsuko Shimizu; Hideo Kusakabe; Toru Tojo; S. Yasuda

We have developed an environment-proof writing chamber for an electron beam mask writing system. The chamber is made of low thermal expansion metal. The measured size dependence on the chamber temperature is more than one order less than in the case of iron or stainless steel. The results of a numerical study indicate that this chamber can suppress the influence of environmental temperature change on the positioning error to nanometer level or below. This chamber is suitable for a 70-nm generation mask writing system and beyond.


21st Annual BACUS Symposium on Photomask Technology | 2002

Stage tracking of a mask-scan EB mask writer test stand

Shinsuke Nishimura; Soichiro Mitsui; Munehiro Ogasawara; Kiminobu Akeno; Mitsuko Shimizu; Hideo Kusakabe; Hirotsugu Wada; Kiyoshi Hattori; Shusuke Yoshitake; Naoharu Shimomura; Jun Takamatsu; Hitoshi Sunaoshi; Yuuji Fukudome; Toru Tojo; Seiichi Tsuchiya

A stage tracking function has been developed for a mask-scan EB mask writer. Position error of EB mask on an EB-mask-stage induces position error of projection beam on the EB-mask and the position of a writing pattern. The position of the EB-mask is measured by a laser interferometer. The shift from the aimed position is fed back to a mask selection deflection and a main deflection. The velocity of EB-mask stage and specimen-stage is also fed back to the deflection. The deflection control unit for the stage tracking has been made and the tracking function confirmed from the test memory of the unit. Using the unit, scanning writing patterns have been obtained with step and repeat stage mode.


Archive | 1999

Charged beam lithography system

Mitsuko Shimizu; Takayuki Abe; Hirohito Anze; Susumu Oogi; Takashi Kamikubo; Eiji Murakami; Yoshiaki Hattori; Tomohiro Iijima; Hitoshi Higurashi; Kazuto Matsuki

Collaboration


Dive into the Mitsuko Shimizu's collaboration.

Researchain Logo
Decentralizing Knowledge