Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kiminobu Akeno is active.

Publication


Featured researches published by Kiminobu Akeno.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Electron Beam Mask Writer EBM-7000 for Hp 32nm Generation

Takashi Kamikubo; Kenji Ohtoshi; Noriaki Nakayamada; Rieko Nishimura; Hitoshi Sunaoshi; Kiminobu Akeno; Soichiro Mitsui; Yuichi Tachikawa; Hideo Inoue; Susumu Oogi; Hitoshi Higurashi; Akinori Mine; Takiji Ishimura; Seiichi Tsuchiya; Yoshitada Gomi; Hideki Matsui; Shuichi Tamamushi

Optical lithography is facing resolution limit. To overcome this issue, highly complicated patterns with high data volume are being adopted for optical mask fabrications. With this background, new electron beam mask writing system, EBM- 7000 is developed to satisfy requirements of hp 32nm generation. Electron optical system with low aberrations is developed to resolve finer patterns like 30nm L/S. In addition, high current density of 200 A/cm2 is realized to avoid writing time increase. In data path, distributed processing system is newly built to handle large amounts of data efficiently. The data processing speed of 500MB/s, fast enough to process all the necessary data within exposure time in parallel for hp32nm generation, is achieved. And this also makes it possible to handle such large volume dense data as 2G shots/mm2 local pattern density. In this paper, system configuration of EBM-7000 with accuracy data obtained are presented.


Journal of Vacuum Science & Technology B | 2002

Development of a mask-scan electron beam mask writer

Shinsuke Nishimura; Mitsuko Shimizu; Soichiro Mitsui; Kiminobu Akeno; Hideo Kusakabe; Munehiro Ogasawara; Ryuji Hayashi; Shinji Yanaga; Noboru Kobayashi; Toru Tojo

Mask-scan strategy for writing large complex patterns such as oblique lines and contact holes with assist bar and serif is more effective for decreasing the number of shots than is variable shaped beam (VSB) strategy and character projection strategy. In a simple case, the number of shots for writing the oblique lines can be as small as 1/600 of that of VSB strategy. Oblique parallel lines of 250 nm width and assist bar of 120 nm width were projected using the mask-scan strategy.


Journal of Vacuum Science & Technology B | 2002

Mechanical system construction for the EX-11 electron beam mask writer: A solution for 100 nm wafer lithography

Kiminobu Akeno; Munehiro Ogasawara; Toru Tojo

An advanced mechanical system has been developed for the EX-11 electron beam mask writer. In particular, a new XY stage was designed so as to improve CD uniformity and image placement by reduction of vibration and heat generation, and by stabilization of the stage temperature. A direct-drive friction-drive unit and crossed-roller guides enable the stage to obtain low position fluctuation less than ±1.2 nm over 1 kHz. The active thermal control system of the XY stage using the thermal control plate whose temperature is controlled within 0.001 °C can stabilize that of the top plate of the XY stage. The improved EX-11 is expected to satisfy the requirements for 100 nm generation lithography.


international microprocesses and nanotechnology conference | 2001

Particle contamination control technology in electron beam mask writing system for next-generation mask fabrication

Kiminobu Akeno; Munehiro Ogasawara; Toru Tojo; Ryoichi Hirano; Shusuke Yoshitake; Kenji Ooki; M. Toriumi; A. Sekine; T. Takikawa; T. Shinoda; S. Noguchi

Electron beam mask writing is one of the most promising technologies for reliable fine mask patterning in present and future optical lithography. To establish a high performance mask writing system, not only the development of breakthrough technologies for improvement in mask accuracy of CD and image placement of the mask pattern but also the development of contamination control technologies should be pursued. To meet these requirements, the mask blanks upper surface scatter meter for EB writer (MUSE) system has been newly developed. MUSE can evaluate and measure the particles on the mask in vacuum environments and can be attached to the EB system. By using MUSE, not only the investigation of the origin of dust production in the EB system but also productive control of the EB system can be accomplished. This paper describes the MUSE system and the results of particle measurement in the EB mask writing system. The performance of the EB system with respect to particles is presented and discussed.


20th Annual BACUS Symposium on Photomask Technology | 2001

Eddy current evaluation for a high-resolution EB system

Naoharu Shimomura; Munehiro Ogasawara; Kiyoshi Hattori; Jun Takamatsu; Hitoshi Sunaoshi; Shusuke Yoshitake; Yuuji Fukudome; Kiminobu Akeno

A semi-in-lens electron beam (EB) optical system improves the beam resolution. However, the eddy current is induced in the target and deviates the beam position when the stage is moving continuously. We calculated the eddy current distribution by approximating the magnetic field on the target to a Gaussian distribution. In the mask-scan EB column1 the maximum value and the dispersion of the magnetic field on the target are 0.01 T and 30 mm, respectively. The beam shift due to the eddy current flowing in the Cr film on a reticle is 1.5 X 10-11 m at the stage speed of 0.1 m/s. Therefore, the eddy current does not degrade the positional accuracy.


Photomask and next-generation lithography mask technology. Conference | 2000

Optical column of the mask-scan EB mask writer test stand

Naoharu Shimomura; Munehiro Ogasawara; Jun Takamatsu; Hitoshi Sunaoshi; Kiyoshi Hattori; Shusuke Yoshitake; Yuuji Fukudome; Kiminobu Akeno

A deficiency in throughput is one of the main problems for the post-100 nm generation mask writer. Mask-scan writing technology is one of the methods for increasing in the throughput. A large pattern is projected by scanning the electron beam over the mask pattern. We have developed a low aberration optical column to prove the concept of the mask- scan technology. We obtained the EB mask pattern image by scanning the electron beam over the mask. We confirmed the capability of the astigmatism correction by the bias voltage superposed on the main field deflectors.


Symposium on Photomask and X-Ray Mask Technology | 1996

Automatic photomask defect classification method

Kyoji Yamashita; Kazuto Matsuki; Kiminobu Akeno

This paper describes a new image-processing algorithm for classifying photomask defects as pindots or contamination as a step toward automated inspection equipment for the one-micron generation. To detect contamination on quartz, our method extracts the gradient of the transmitted image within the dark region of reflected image. Contamination on the opaque membrane can also be detected by using the same method but with the transmitted image and reflected image mutually transposed. Standard particles of 0.3 to 0.5 micron can be detected with particles on quartz and particles on opaque membrane separated.


Japanese Journal of Applied Physics | 2004

Evaluation of Mask Soaking Performance in a Thermally Stabilized Vacuum Chamber in an Electron Beam Mask Writer

Soichiro Mitsui; Kiminobu Akeno; Munehiro Ogasawara; Toru Tojo

A temperature difference between a mask and a writing environment, which occurs during mask loading, is one of the most significant sources of the mask image placement error. The temperature of the mask has to be adjusted in a thermal chamber. To realize a rapid and highly accurate thermal soaking process, we constructed a new thermally stabilized vacuum chamber with an improved thermal soaking efficiency and evaluated its performance. The chamber is equipped with the top and bottom thermal stabilization plates (TSPs). It was found that the soaking time is largely dependent on the emissivities of the TSPs. The soaking relaxation time of less than 0.4 h is achieved. The thermal stability of the mask after soaking is 0.004°C (3σ) for 12 h. It was also found that a high TSP emissivity is effective in reducing the influence of the temperature variation of the environment on the mask, and the temperature variation of the mask is reduced to approximately 28% of that of the environment. A quick soaking and stable temperature holding of the mask are realized.


international microprocesses and nanotechnology conference | 2003

Evaluation of mask soaking performance in the thermal stabilized vacuum chamber in an EB mask writer

S. Mitsui; Kiminobu Akeno; Munehiro Ogasawara; Toru Tojo

In this paper, a new thermally stabilized vacuum chamber with improved soaking efficiency is constructed and its performance is evaluated.

Collaboration


Dive into the Kiminobu Akeno's collaboration.

Researchain Logo
Decentralizing Knowledge