Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroyuki Nagasaka is active.

Publication


Featured researches published by Hiroyuki Nagasaka.


Optical Microlithography XVI | 2003

Immersion lithography: its potential performance and issues

Soichi Owa; Hiroyuki Nagasaka

Imaging performance and issues of immersion lithography are discussed with the results of the recent feasibility studies. Immersion lithography has advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer. In case of 193nm exposure, water (n = 1.44) has been found as the best liquid. It is shown, by using imaging simulations, that ArF (193nm) immersion lithography (NA=1.05 to 1.23) has equivalent performance to F2 (157nm) dry (NA=0.85 to 0.93) lithography. Six fundamental issues in the ArF immersion lithography are investigated and studied. Results of the study indicate that there are no “show stoppers” that prevent going into the next phase of feasibility study.


Journal of Micro-nanolithography Mems and Moems | 2004

Advantage and feasibility of immersion lithography

Soichi Owa; Hiroyuki Nagasaka

Immersion lithography has an advantage in the numerical aperture of optics by a factor of refractive index n of the liquid filled into the space between the bottom lens and wafer. In case of 193-nm exposure tools, water (n = 1.44) has been found as the best liquid. It is shown, by using imaging simulations, that ArF (193-nm) immersion lithography (NA = 1.05 to 1.23) has almost equivalent performance to F2 (157-nm) dry (NA = 0.85 to 0.93) lithography. Issues in the ArF immersion exposure tools are discussed with fluid-dynamic and thermal simulations results. In the fundamental issues, there seems to be no showstoppers so far, however, there exist several challenges to realize viable exposure tools.


advanced semiconductor manufacturing conference | 2007

Immersion Lithography Ready for 45 nm Manufacturing and Beyond

Soichi Owa; Katsushi Nakano; Hiroyuki Nagasaka; Tomoharu Fujiwara; Tomoyuki Matsuyama; Yasuhiro Ohmura; H. Magoona

Enhanced resolution capability, defined in Rayleighs criterion as: R = (k1*lambda)/NA (1); where R = minimum resolution, lambda = exposure wavelength, and k1 = process dependent factor is the key motivation for the transition to immersion lithography, and the continued push for higher numerical apertures (NA). Regardless of the imaging enhancements made possible by immersion lithography though, this technology would not have been implemented in volume manufacturing if two potential showstoppers identified early on, overlay and defectivity performance, were not successfully overcome. Fortunately, intense collaboration between scanner and track suppliers, resist vendors, and IC manufacturers has yielded significant progress in the critical areas of immersion defectivity and overlay. As a result, immersion lithography is experiencing rapid adoption into mainstream semiconductor manufacturing. Hyper-NA immersion scanners, such as the Nikon NSR-S609B (NA=1.07), began shipping in early 2006 for use in 55 nm production and 45 nm process development. These systems are already being used successfully for 56 nm NAND flash manufacturing. Aggressive industry integration continues, and scanners such as the NSR-S610C (NA=1.30) are fully capable of delivering the critical performance metrics required for 45 nm half-pitch production and beyond. Current areas of industry investigation now focus on the feasibility and practicality of extending immersion lithography to 32 nm applications using new lens and resist materials, as well as exploring alternative immersion fluids to push immersion lithography as far as possible.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Current status and future prospect of immersion lithography

Soichi Owa; Hiroyuki Nagasaka; Katsushi Nakano; Yasuhiro Ohmura

Immersion lithography is rapidly approaching the manufacturing phase. A production-quality exposure tool system with NA=1.07 (Nikon NSR-S609B) was constructed to target the start of immersion lithography for IC manufacturing in 2006. Its projection optics have very small wavefront aberration and lowest local flare levels. The overlay issue has been analyzed, and its cause was found to be evaporation cooling. With the tandem stage and local fill nozzle implemented in the S609B, we have successfully avoided the evaporation cooling so that the good wet-to-dry mix-and-match overlay data have been obtained. The major part of immersion specific defects is caused by dried water-droplets, i.e. water-marks. The local fill nozzle has eliminated this defectivity by avoiding air flow in the nozzle. In the future, water immersion with NA=1.30 optics will be used for half-pitch 45nm manufacturing. Finer pattern imaging down to 32nm seems to need high-index material immersion or nonlinear double patterning, but these have several issues and concerns to be solved.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Immersion lithography: its history, current status and future prospects

Soichi Owa; Hiroyuki Nagasaka

Since the 1980s, immersion exposure has been proposed several times. At the end of 1990s, however, these concepts were almost forgotten because other technologies, such as electron beam projection, EUVL, and 157 nm were believed to be more promising than immersion exposures. The current work in immersion lithography started in 2001 with the report of Switkes and Rothschild. Although their first proposal was at 157 nm wavelength, their report in the following year on 193 nm immersion with purified water turned out to be the turning point for the introduction of water-based 193 nm immersion lithography. In February, 2003, positive feasibility study results of 193 nm immersion were presented at the SPIE microlithography conference. Since then, the development of 193 nm immersion exposure tools accelerated. Currently (year 2008), multiple hyper NA (NA>1.0) scanners are generating mass production 45 nm half pitch devices in semiconductor manufacturing factories. As a future extension, high index immersion was studied over the past few years, but material development lagged more than expected, which resulted in the cancellation of high index immersion plans at scanner makers. Instead, double patterning, double dipole exposure, and customized illuminations techniques are expected as techniques to extend immersion for the 32 nm node and beyond.


Optical Microlithography XVII | 2004

Feasibility of immersion lithography

Soichi Owa; Hiroyuki Nagasaka; Yuuki Ishii; Osamu Hirakawa; Taro Yamamoto

Feasibility of ArF (193nm) immersion lithography is reported based on our recent experimental and theoretical studies. Local fill method of water, edge shot, high NA projection optics, focus sensing, water supply, polarization effect, polarized illumination and resist are investigated. Although we recognize there are some remaining engineering risks, we have judged that ArF immersion lithography is basically feasible and is a very promising method that can reach the half pitch required for the 45nm node. On this basis we have planned our development schedule of immersion exposure tools.


Optical Microlithography XVI | 2003

Nikon F2 exposure tool development

Soichi Owa; Yukako Matsumoto; Yasuhiro Ohmura; Shigeru Sakuma; Takashi Aoki; Jin Nishikawa; Hiroyuki Nagasaka; Takeyuki Mizutani; Naomasa Shiraishi; Kazuhiro Kido; Issei Tanaka; Jun Nagatsuka

Present status of development of F2 (157nm) exposure tool in Nikon is described. Key points of F2 exposure tool are reported; low aberration projection optics, CaF2 quality, coating durability and gas purging of the pellicle space. We also report the measurement of refractive index inhomogeneity inside CaF2 crystals, which is suspected as the cause of local flare. Characteristics of high NA optics over 0.9 are investigated by imaging simulations for both 193nm and 157nm wavelengths, which are compared NA=0.85 imaging.


Proceedings of SPIE | 2007

Current status of high-index immersion lithography development

Yasuhiro Ohmura; Toshiharu Nakashima; Hiroyuki Nagasaka; Ayako Sukegawa; Satoshi Ishiyama; Koichi Kamijo; Masahiko Shinkai; Soichi Owa

High index immersion lithography (HIL) is one candidate for the next generation lithography technology following water immersion lithography. This technology may require only moderate changes of chip making processes and result in lower cost of ownership (CoO) compared with other technologies such as double processing, extreme ultra violet lithography (EUVL), and nano-imprinting, and other technologies. In this paper, the current status of high index lens material and immersion fluid development compared with our requirements is discussed considering microlithographic lens design feasibility and attainable NA.


Proceedings of SPIE | 2008

Studies of High Index Immersion Lithography

Yasuhiro Ohmura; Hiroyuki Nagasaka; Tomoyuki Matsuyama; Toshiharu Nakashima; Teruki Kobayashi; Motoi Ueda; Soichi Owa

High index immersion lithography is one of the candidates for next generation lithography technology following water immersion lithography. This technology may be most attractive for the industry since it is effective in raising resolution without seriously changing the chip making processes. This motivates us to continue to study further NA expansion although there are many challenges with respect to either high index fluid development or high index lens material development. In this paper, the current status of high index lithography development compared with the industrys requirements is discussed while considering design feasibility.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Development of environmental control technologies for 157-nm lithography at ASET

Yasuaki Fukuda; Seiji Takeuchi; Takashi Aoki; Hiroyuki Nagasaka; Soichi Owa; Fumika Yoshida; Youichi Kawasa; Keiji Egawa; Takehito Watanabe; Ikuo Uchino; Akira Sumitani; Kiyoharu Nakao

Purging and reduction of out-gassing are very important issues that need to be treated in order to realize F2 laser lithography system. Several methods of purging are tried and out-gases from metals, O-rings, lubricants, and an adhesive are analyzed. Metal surfaces mainly release oxygen and water independent of surface roughness, Ni plating, or elements. Other substances are not detected by API-MS or GC-MS. Since O-rings are indispensable to make gas-tight structures, several kinds of O-rings made of fluoro-compounds are tested. Black fluoro-rubber o-ring, O-ring F, is recommended from the view of organic out-gassing but Teflon-based fluoro-elastomer, O-ring A, is a good candidate in terms of the water out-gassing. Greases emit a large amount of out-gases even when the samples are not irradiated by 157 nm laser. As an adhesive, Adhesive A is recommended because of the fact that it does not release as much organic and inorganic compounds which may absorb 157 nm laser light. Finally preliminary demonstration using a model exposure system is performed to obtain purging time for several cases.

Collaboration


Dive into the Hiroyuki Nagasaka's collaboration.

Researchain Logo
Decentralizing Knowledge