Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jianhui Shan is active.

Publication


Featured researches published by Jianhui Shan.


Proceedings of SPIE | 2011

EUV underlayer materials for 22nm HP and beyond

Huirong Yao; Zachary Bogusz; Jianhui Shan; JoonYeon Cho; Salem K. Mullen; Guanyang Lin; Mark Neisser

EUV lithography is expected to be an important technology for manufacturing 22 nm node and beyond in the semiconductor industry. To achieve the desired resist RLS performance for such fine feature patterns, multilayer materials are almost certainly needed to define the overall lithography process. The resist modeling and experiment studies suggest high EUV absorbance of the film improves resolution, line width roughness and sensitivity. In this paper, we report the studies of new EUV underlayers (EBL) based on crosslinkable organic underlayer materials with high EUV photon absorption (EPA) unit. The lithography results for the new EUV underlayer materials have demonstrated advantages over conventional organic underlayer in terms of resist sensitivity, resolution, process window, pattern profile, collapse margin, and possibly line width roughness.


Proceedings of SPIE | 2007

Organic ArF bottom anti-reflective coatings for immersion lithography

Zhong Xiang; Hong Zhuang; Hengpeng Wu; Jianhui Shan; Dave Abdallah; Jian Yin; Salem K. Mullen; Huirong Yao; Eleazar Gonzalez; Mark Neisser

Substrate reflectivity control plays an important role in immersion lithography. Multilayer bottom anti-reflective coatings (B.A.R.C.s) become necessary. This paper will focus on the recent development in organic ArF B.A.R.C. for immersion lithography. Single layer low k ArF B.A.R.C.s in conjunction with multilayer CVD hard mask and dual layer organic ArF B.A.R.C. application will be discussed. High NA dry and wet lithography data will be presented. We will also present the etch rate data, defect data and out-gassing property of these new B.A.R.C. materials.


Proceedings of SPIE | 2015

Directed self-assembly of topcoat-free, integration-friendly high- x block copolymers

Eri Hirahara; Margareta Paunescu; Orest Polishchuk; EunJeong Jeong; Edward Ng; Jianhui Shan; Jihoon Kim; SungEun Hong; Durairaj Baskaran; Guanyang Lin; Ankit Vora; Melia Tjio; Noel Arellano; C. T. Rettner; Elizabeth Lofano; Chi-Chun Liu; Hsinyu Tsai; Anindarupa Chunder; Khanh Nguyen; Alexander Friz; Amy N. Bowers; Srinivasan Balakrishnan; Joy Cheng; Daniel P. Sanders

To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.


Proceedings of SPIE | 2015

Toward high-performance quality meeting IC device manufacturing requirements with AZ SMART DSA process

Jihoon Kim; Jian Yin; Yi Cao; YoungJun Her; Claire Petermann; Hengpeng Wu; Jianhui Shan; Tomohiko Tsutsumi; Guanyang Lin

Significant progresses on 300 mm wafer level DSA (Directed Self-Assembly) performance stability and pattern quality were demonstrated in recent years. DSA technology is now widely regarded as a leading complementary patterning technique for future node integrated circuit (IC) device manufacturing. We first published SMARTTM DSA flow in 2012. In 2013, we demonstrated that SMARTTM DSA pattern quality is comparable to that generated using traditional multiple patterning technique for pattern uniformity on a 300 mm wafer. In addition, we also demonstrated that less than 1.5 nm/3σ LER (line edge roughness) for 16 nm half pitch DSA line/space pattern is achievable through SMARTTM DSA process. In this publication, we will report impacts on SMARTTM DSA performances of key pre-pattern features and processing conditions. 300mm wafer performance process window, CD uniformity and pattern LER/LWR after etching transfer into carbon-hard mask will be discussed as well.


Proceedings of SPIE | 2008

High-etch-rate low-bias bow outgassing BARC via-filling materials for 193-nm ArF lithographic process

Huirong Yao; Zhong Xiang; Salem K. Mullen; Jian Yin; Walter Liu; Jianhui Shan; Elleazar Gonzalez; Guanyang Lin; Mark Neisser

As critical dimensions in integrated circuit (IC) device fabrication continue to shrink to less than 90 nm, designing multi-functional organic bottom anti-reflective coating (BARC) materials has become a challenge. In this paper, we report novel high performance BARC materials which are simultaneously capable of controlling reflectivity, planarizing on substrate surface, low bias filling without forming voids, low outgassing, high etch selectivity with resists and broad compatibility with resists. The new materials comprise of a chromophore that absorbs at 193 nm to give anti-reflective properties. By intriguing design of the crosslinking system to minimize the amount of low molecular weight additives and the by-product formation in the curing process, low-bias and low sublimation filling without formation of voids are achieved. In addition, the performance of the high etch rate BARC material can be further enhanced by blending with a low k high etch rate (~2.4X) material to achieve ultra high etch rate for ArF lithographic process. The filling properties, etch selectivity, lithographic and outgassing data of the new BARC materials will be presented.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

The effects of etch chemistry on the etch rates of ArF BARC products

Hong Zhuang; Dave Abdallah; Zhong Xiang; Hengpeng Wu; Jianhui Shan; Ping-Hung Lu; Mark Neisser; Eugene Joseph Karwacki; Bing Ji; Peter R. Badowski

As the feature sizes of integrated circuits shrink, highly anisotropic etching process (i.e., ion-assisted plasma etch, or reactive ion etch (RIE)), becomes even more essential for successful pattern transfer in the fabrication of semiconductor devices. The stringent 193 nm lithography process necessitates the use of bottom anti-reflective coating (BARC) for controlling reflections and improving swing ratios. Prior to RIE of a patterned wafer, the BARC layer must first be opened to allow pattern transfer from the resist mask to the underlying films. As we enter the era of sub-90nm imaging, minimum loss of the photoresist during the BARC open step is becoming more critical, since the demand for higher optical resolution dictates the use of ever thinner resist films. This in turn requires higher etch rate of BARC materials. In this paper we report on the impact of etching gas chemistries on the etch rates of BARC materials. The correlation between the etch chemistry and BARC products will be discussed. Reactive ion etch rates for blanket BARC coatings and BARCs under resist patterns were measured. Etch rates of BARC products of various material compositions were measured with a typical ArF resist as reference. It is well known that the chemical composition and structure of organic materials essentially determine the etch rates under certain etch process conditions. The correlations between etch rates and BARC polymer chemistry are reported. Etch chemistries, (i.e. the chemical interaction of plasma reactive ions with BARC materials), may also have profound effects on etch rates. Here we report on results obtained using four etching gas chemistries to study how oxygen contents, polymerizing gases, and inert gas effect the etch rates of different ArF BARC products.


Proceedings of SPIE | 2016

Directed self-assembly materials for high resolution beyond PS- b -PMMA

Eri Hirahara; Margareta Paunescu; Orest Polishchuk; EunJeong Jeong; Edward Ng; Jianhui Shan; Jian Yin; Jihoon Kim; Yi Cao; Jin Li; SungEun Hong; Durairaj Baskaran; Guanyang Lin

To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.


Archive | 2005

Antireflective compositions for photoresists

Hengpeng Wu; Shuji Ding-Lee; Zhong Xiang; Aritaka Hishida; Jianhui Shan; Hong Zhuang


Journal of Photopolymer Science and Technology | 2013

The SMARTTM Process for Directed Block Co-Polymer Self-Assembly

Jihoon Kim; Jingxiu Wan; Shinji Miyazaki; Jian Yin; Yi Cao; Young Jun Her; Hengpeng Wu; Jianhui Shan; Kazunori Kurosawa; Guanyang Lin


Archive | 2004

Process for making polyesters

Hengpeng Wu; Jianhui Shan; Shuji Ding-Lee; Zhong Xhiang; Eleazor B. Gonzalez; Mark Neisser

Collaboration


Dive into the Jianhui Shan's collaboration.

Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Guanyang Lin

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Mark Neisser

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Jian Yin

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Zhong Xiang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Huirong Yao

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hong Zhuang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Yi Cao

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge