Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jihoon Na is active.

Publication


Featured researches published by Jihoon Na.


Proceedings of SPIE | 2017

Progress in EUV lithography toward manufacturing

Seong-Sue Kim; Roman Chalykh; Hoyeon Kim; Seung-Koo Lee; Chang-min Park; Myung-soo Hwang; Joo-On Park; Jinhong Park; Hocheol Kim; Jinho Jeon; Insung Kim; Dong-gun Lee; Jihoon Na; Jungyeop Kim; Siyong Lee; Hyun-woo Kim; Seok-Woo Nam

In this article the recent progress in the elements of EUV lithography is presented. Source power around 205W was demonstrated and further scaling up is going on, which is expected to be implemented in the field within 2017. Source availability keeps improving especially due to the introduction of new droplet generator but collector lifetime needs to be verified at each power level. Mask blank defect satisfied the HVM goal. Resist meets the requirements of development purposes and dose needs to be reduced further to satisfy the productivity demand. Pellicle, where both the high transmittance and long lifetime are demanded, needs improvements especially in pellicle membrane. Potential issues in high-NA EUV are discussed including resist, small DOF, stitching, mask infrastructure, whose solutions need to be prepared timely in addition to high-NA exposure tool to enable this technology.


27th European Mask and Lithography Conference | 2011

Current status of EUV mask inspection using 193nm optical inspection system in 30nm node and beyond

Sang Hoon Han; Jihoon Na; Won-Il Cho; Dong-Hoon Chung; Chan-Uk Jeon; Han-Ku Cho; Dana Bernstein; Eun Young Park; Anoop Sreenath; Shmoolik Mangan

Extreme Ultra Violet Lithography (EUVL) is one of the most advanced patterning technologies to overcome the critical resolution limits of current ArF lithography for 30nm generation node and beyond. Since EUVL mask manufacturing process has not been fully stabilized yet, it is still suffering from many defect issues such as blank defects, defects inside multilayer causing phase defects, CD defects, LERs (Line Edge Roughness), and so on. One of the most important roles in mask manufacturing process belongs to mask inspection tools, which monitor and visualize mask features, defects and process quality for the EUVL process development. Moreover, as the portion of EUV mask production has been increased due to the EUV Pre-Production Tool (PPT) development, mask inspection technologies for EUVL become highly urgent and critical to guarantee mask quality. This paper presents a promising inspection technique for increasing the contrast of pattern imaging and defects capture rate using configurable illumination conditions in 193nm wavelength inspection tool.


Measurement Science and Technology | 2010

Active feedback wide-field optical low-coherence interferometry for ultrahigh-speed three-dimensional morphometry

Woo June Choi; Jihoon Na; Hae Young Choi; Jonghyun Eom; Byeong Ha Lee

A novel optical interferometric scheme for ultrahigh-speed three-dimensional morphometry is proposed. The system is based on wide-field optical coherence tomography (WF-OCT) but with optically chopped illumination. The chopping frequency is feedback-controlled to be always matched with the Doppler frequency of the OCT interferometer, which provides an efficient page-wide demodulation suitable for ultrahigh-speed volumetric imaging. To compensate the unwanted variation in the OCT Doppler frequency of the system, the illumination frequency is phase-locked with an auxiliary laser interferometer which shares the reference arm with the OCT interferometer. The two-dimensional (2D) interference signals projected on the 2D array pixels of a 200 Hz CCD are accumulated during one imaging frame of the CCD. Then, each pixel of the CCD demodulates the OCT signal automatically. Owing to the proposed active frequency-locked illumination scheme, the demodulation does not depend on the variation in the axial scanning speed. Volumetric topograms or/and tomograms of several samples were achieved and rendered with a sensitivity of 58 dB at an axial scan speed of 0.805 mm s−1.


Photomask Technology 2011 | 2011

EUVL mask inspection using 193nm wavelength for 30nm node and beyond

Jihoon Na; Won-Il Cho; Tae-Geun Kim; In-Yong Kang; Byung-Cheol Cha; In-kyun Shin; Han-Ku Cho

We report inspection results of EUVL masks with 193nm wavelength tools for 30nm and 24nm half-pitch nodes. The dense line and space and contact pattern is considered to study inspection capability. The evaluation includes defect contrast variation depending on illumination conditions, defect types, and design nodes. We show many inspection images with various optic conditions. Consequently, the detection sensitivity is affected by contrast variation of defects. The detection sensitivity and wafer printability are addressed with a programmed defect mask and a production mask. With these results, we want to discuss the capability of current EUVL mask inspection tools and the future direction.


Biomedical optics | 2004

Fiber-based high-resolution OCT system with halogen light source

EunSeo Choi; Jihoon Na; Byeong Ha Lee

Fiber-based high resolution OCT system was achieved using white-light source with a halogen lamp, which has advantages of wide spectrum, compact size and low cost. The axial resolution measured without using objective lens in the sample arm was about 2.5 mm. The thickness of a thin film (about 7 mm thick) was measured to evaluate the high resolution performance. The measured interferogram showed two well-distinguished peaks corresponding to two interfaces of the thin film. The implemented OCT system was composed of fiber-optic Michelson interferometer instead of that of conventional bulk optics. To adapt a white-light source to the fiber based OCT system and providing high resolution, a wideband single mode fiber with a large mode field diameter for high coupling efficiency, a wideband fiber coupler with flat coupling response, a cascaded detector scheme for broadband detection and dispersion control are required. Dispersion mismatch due to introducing an objective lens in the sample arm can be controlled effectively by employing a proper optical component in the reference arm. After dispersion control, resolution of about 3.5 mm was enhanced to about 2.5 mm, which is similar to the objective lens-free resolution, and wide sidelobes was also well suppressed.


Proceedings of SPIE | 2016

Study of nanometer-thick graphite film for high-power EUVL pellicle

Mun Ja Kim; Hwan Chul Jeon; Roman Chalykh; Eokbong Kim; Jihoon Na; Byung-Gook Kim; Hee-Bom Kim; Chan-Uk Jeon; Seul-Gi Kim; Dong-Wook Shin; Tae Sung Kim; Soo-Young Kim; Jung Hun Lee; Ji-Beom Yoo

Extreme ultraviolet (EUV) lithography has received much attention in the semiconductor industry as a promising candidate to extend dimensional scaling beyond 10nm. Recently EUV pellicle introduction is required to improve particle level inside scanner for EUV mass production. We demonstrate that a new pellicle material, nanometer-thick graphite film (NGF), is one of the best candidates of EUV pellicle membrane. A NGF pellicle with excellent thermal (ε≥0.4 @R.T, <100nm), mechanical (415MPa @~100nm), chemical and optical (24hrs durability under exposure of EUV/H2 at 4W/cm2 with pH2~5Pa) properties can be a promising and superb candidate for EUV pellicle membrane compared to Si pellicles with capping layers.


Proceedings of SPIE | 2012

Printability and inspectability of defects on EUV blank for 2xnm hp HVM application

Sungmin Huh; In-Yong Kang; Chang Young Jeong; Jihoon Na; Dong Ryul Lee; Hwan-Seok Seo; Seong-Sue Kim; Chan-Uk Jeon; Jonggul Doh; Gregg Inderhees; Jinho Ahn

The availability of defect-free masks remains one of the key challenges for inserting extreme ultraviolet lithography (EUVL) into high volume manufacturing. Recently both blank suppliers achieved 1-digit number of defects at 60nm in size using their M1350s. In this paper, a full field EUV mask with Teron 61X blank inspection is fabricated to see the printability of various defects on the blank using NXE 3100. Minimum printable blank defect size is 23nm in SEVD using real blank defect. Current defect level on blank with Teron 61X Phasur has been up to 70 in 132 X 132mm2. More defect reduction as well as advanced blank inspection tools to capture all printable defects should be prepared for HVM. 3.6X reduction of blank defects per year is required to achieve the requirement of HVM in the application of memory device with EUVL. Furthermore, blank defect mitigation and compensational repair techniques during mask process needs to be developed to achieve printable defect free on the wafer.


Proceedings of SPIE | 2012

Printability study of pattern defects in the EUV mask as a function of hp nodes

Tae-Geun Kim; Hwan-Seok Seo; In-Yong Kang; Chang Young Jeong; Sungmin Huh; Jihoon Na; Seong-Sue Kim; Chan-Uk Jeon; Iacopo Mochi; Kenneth A. Goldberg

Amplitude defects (or absorber defects), which are located in absorber patterns or multilayer surface, can be repaired during mask process while phase defects (or multilayer defects) cannot. Hence, inspection and handling of both defects should be separately progressed. Defect printability study of pattern defects is very essential since it provides criteria for mask inspection and repair. Printed defects on the wafer kill cells and reduce the device yield in wafer processing, and thus all the printable defects have to be inspected and repaired during the mask fabrication. In this study, pattern defect printability of the EUV mask as a function of hp nodes is verified by EUV exposure experiments. For 3x nm hp nodes, defect printability is evaluated by NXE3100. For 2x nm hp node, since resolution of a current EUV scanner is not enough, SEMATECH-Berkeley actinic inspection tool (AIT) as well as micro-field exposure tool (MET) in LBNL are utilized to verify it,. Furthermore those printability results are compared with EUV simulations. As a result, we define size of defects to be controlled in each device node.


Proceedings of SPIE | 2017

Application of actinic mask review system for the preparation of HVM EUV lithography with defect free mask

Jihoon Na; Dong-gun Lee; Changhwan Do; Hong-seok Sim; Jung-Hwan Lee; Jungyoup Kim; Hwan-Seok Seo; Hee-Bom Kim; Chan Uk Jeon

We introduce an extreme ultraviolet lithography (EUVL) mask defect review system (EMDRS) which has been developing in SAMUSNG. It applies a stand-alone high harmonic generation (HHG) EUV source as well as simple EUV optics consisting of a folding mirror and a zoneplate. The EMDRS has been continuously updated and utilized for various applications regarding defect printability in EUVL. One of the main roles of the EMDRS is to verify either mask repair or mask defect avoidance (MDA) by actinic reviews of defect images before and after the process. Using the MDA, small phase defects could be hidden below absorber patterns, but it is very challenging in case of layouts with high density patterns. The EMDRS clearly verify the success of the MDA while conventional SEM could not detect the images. In addition, we emulate images of the sub-resolution assist features (SRAFs) by the EMDRS and compared them with the wafer exposure results.


Photomask and Next-Generation Lithography Mask Technology XX | 2013

Extending DUV mask inspection tool for inspecting 2xnm HP and beyond

Jihoon Na; Sang Hoon Han; Gi-sung Yoon; Dong-Hoon Chung; Byung-Gook Kim; Chan-Uk Jeon; Dana Bernstein; Lior Shoval; Ido Dolev; Ofer Shopen; Ju Sang Lee; Chung ki Lyu; Seung Ryong Bae

Advanced 193nm DUV optical inspection tools that can cover 2Xnm HP node become more important and they are being tested to estimate their extendibility. We report DUV based inspection results evaluated and compared to wafer prints, as well as mask CD-SEM images in order to determine the size of printable defects that must be detected in each device node. Applied Materials® advanced Aera™ optical mask inspection tool that adapted a new optical technology enhancement was utilized to evaluate its inspection capability. The illumination conditions and pixel size were optimized to increase inspection sensitivity and reach detection requirements for not only critical defects that print on the wafer but also non-printing defects that indicate to a mask issue. Simulation was used to study suitable optical illumination conditions analyzing results to achieve the best performance for high-end EUV mask inspection toward next generation lithography.

Collaboration


Dive into the Jihoon Na's collaboration.

Top Co-Authors

Avatar

Byeong Ha Lee

Gwangju Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

EunSeo Choi

Gwangju Institute of Science and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge