Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jing-Jia Liou is active.

Publication


Featured researches published by Jing-Jia Liou.


design automation conference | 2002

False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation

Jing-Jia Liou; Angela Krstic; Li-C. Wang; Kwang-Ting Cheng

We propose a false-path-aware statistical timing analysis framework. In our framework, cell as well as interconnect delays are assumed to be correlated random variables. Our tool can characterize statistical circuit delay distribution for the entire circuit and produce a set of true critical paths.


design automation conference | 2001

Fast statistical timing analysis by probabilistic event propagation

Jing-Jia Liou; Kwang-Ting Cheng; Sandip Kundu; Angela Krstic

We propose a new statistical timing analysis algorithm, which produces arrival-time random variables for all internal signals and primary outputs for cell-based designs with all cell delays modeled as random variables. Our algorithm propagates probabilistic timing events through the circuit and obtains final probabilistic events (distributions) at all nodes. The new algorithm is deterministic and flexible in controlling run time and accuracy. However, the algorithm has exponential time complexity for circuits with reconvergent fanouts. In order to solve this problem, we further propose a fast approximate algorithm. Experiments show that this approximate algorithm speeds up the statistical timing analysis by at least an order of magnitude and produces results with small errors when compared with Monte Carlo methods.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2004

Critical path selection for delay fault testing based upon a statistical timing model

Li-C. Wang; Jing-Jia Liou; Kwang-Ting Cheng

Critical path selection is an indispensable step for testing of small-size delay defects. Historically, this step relies on the construction of a set of worst-case paths, where the timing lengths of the paths are calculated based upon discrete-valued timing models. The assumption of discrete-valued timing models may become invalid for modeling delay effects in the deep submicron domain, where the effects of timing defects and process variations are often statistical in nature. This paper studies the problem of critical path selection for testing small-size delay defects, assuming that circuit delays are statistical. We provide theoretical analysis to demonstrate that the new path-selection problem consists of two computationally intractable subproblems. Then, we discuss practical heuristics and their performance with respect to each subproblem. Using a statistical defect injection and timing-simulation framework, we present experimental results to support our theoretical analysis.


international test conference | 2001

Delay testing considering crosstalk-induced effects

Angela Krstic; Jing-Jia Liou; Yi-Min Jiang; Kwang-Ting Cheng

Increased noise/interference effects, such as crosstalk, power supply noise, substrate noise and distributed delay variations lead to increased signal integrity problems in deep submicron designs. These problems can cause logic errors and/or performance degradation and must be addressed both in the design for deep submicron and testing for deep submicron phases. Existing delay testing techniques cannot capture the effects of noise on the cell/interconnect delays. In this paper, we address the problem of delay testing considering crosstalk-induced delay effects. We propose solutions for target fault selection and pattern generation. The key elements of our strategy are performance sensitivity analysis with respect to crosstalk noise and a genetic algorithm (GA) based vector generation technique. The role of performance sensitivity analysis is to consider the effects of crosstalk noise during the target fault selection process. Next, for each selected fault consisting of a path and a set of crosstalk noise sources interacting with the path, we apply our iterative GA-based pattern generation process. Our goal is to derive a test that produces a large crosstalk-induced delay effect on the given path. Our technique allows consideration of any number of coupling sources along the target path. Due to its flexibility, efficiency and scalability, the technique can be applied to large circuits.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2003

Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices

Jing-Jia Liou; Angela Krstic; Yi-Ming Jiang; Kwang-Ting Cheng

The performance of deep submicron designs can be affected by various parametric variations, manufacturing defects, noise or modeling errors that are all statistical in nature. In this paper, we propose a methodology to capture the effects of these statistical variations on circuit performance. It incorporates statistical information into timing analysis to compute the performance sensitivity of internal signals subject to a given type of defect, noise or variation sources. Next, we propose a novel path and segment selection methodology for delay testing based on the results of statistical performance sensitivity analysis. The objective of path/segment selection is to identify a small set of paths and segments such that the delay tests for the selected paths/segments guarantee the detection of performance failure. We apply the proposed path selection technique for selection of a set of paths for dynamic timing analysis considering power supply noise effects. Our experimental results demonstrate the difference in estimated circuit performance for the case when power supply noise effects are considered versus when these effects are ignored. Thus, they indicate the need for considering power supply noise effects on delays during path selection and dynamic timing analysis.


international conference on computer aided design | 2000

Path selection and pattern generation for dynamic timing analysis considering power supply noise effects

Jing-Jia Liou; Angela Krstic; Yi Min Jiang; Kwang-Ting Cheng

Noise effects such as power supply and crosstalk can significantly affect the performance of deep submicron designs. These delay effects are highly input pattern dependent. Existing path selection and timing analysis techniques cannot capture the effects of noise on cell/interconnect delays. Therefore, the selected critical paths may not be the longest paths and predicted circuit performance might not reflect the worst-case circuit delay. In this paper, we propose a path selection technique that can consider power supply noise effects on the propagation delays. Next, for the selected critical paths, we propose a pattern generation technique for dynamic timing analysis such that the patterns produce the worst-case power supply noise effects on the delays of these paths. Our experimental results demonstrate the difference in estimated circuit performance for the case when power supply noise effects are considered vs. when these effects are ignored. Thus, they validate the need for considering power supply noise effects on delays during path selection and dynamic timing analysis.


vlsi test symposium | 2000

Path selection for delay testing of deep sub-micron devices using statistical performance sensitivity analysis

Jing-Jia Liou; Kwang-Ting Cheng; Deb Aditya Mukherjee

The performance of deep sub-micron designs can be affected by various parametric variations, manufacturing defects, noise or even modeling errors that are all statistical in nature. In order to capture the effects of these statistical variations on circuit performance, we incorporate statistical information in timing analysis to compute the performance sensitivity of internal signals subject to a given type of defect, noise or variation sources. We further propose a novel path and segment selection methodology for delay testing based on the results of statistical performance sensitivity analysis. The objective of path/segment selection is to identify a small set of paths and segments such that the delay tests for the selected paths/segments guarantee the detection of performance failure caused by the target type of defect, noise or variation source. This new path selection methodology defines a new path/segment searching paradigm for detecting delay faults in deep sub-micron devices.


asia and south pacific design automation conference | 2000

Performance sensitivity analysis using statistical methods and its applications to delay testing

Jing-Jia Liou; Angela Krstic; Kwang-Ting Cheng; Deb Aditya Mukherjee; Sandip Kundu

The performance of deep submicron designs can be affected by various parametric variations, manufacturing defects, noise or modeling errors that are all statistical in nature. We propose a statistical framework for analyzing the performance sensitivity of designs to various timing related defects/noise/variations. The core engine of our approach is a highly efficient statistical timing analysis tool. We describe the application of our framework for delay fault modeling and analysis of resistive opens and shorts and as well as interconnect crosstalk. We present experimental results demonstrating the accuracy of our statistical framework as compared to SPICE (for a given set of input patterns) and nominal worst-case analysis, Experimental results for analysis of resistive opens and shorts are also included.


design automation conference | 2003

Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models

Angela Krstic; Li-C. Wang; Kwang-Ting Cheng; Jing-Jia Liou; T. M. Mak

In this paper, we propose a new methodology for diagnosis of delay defects in the deep submicron domain. The key difference between our diagnosis framework and other traditional diagnosis methods lies in our assumptions of the statistical circuit timing and the statistical delay defect size. Due to the statistical nature of the problem, achieving 100% diagnosis resolution cannot be guaranteed. To enhance diagnosis resolution, we propose a 3-phase diagnosis methodology. In the first phase, our goal is to quickly identify a set of candidate suspect faults that are most likely to cause the failing behavior based on logic constraints. In the second phase, we obtain a much smaller suspect fault set by applying a novel diagnosis algorithm that can effectively utilize the statistical timing information based upon a single defect assumption. In the third phase, our goal is to apply additional fine-tuned patterns to successfully narrow down to more exact suspect defect locations. Using a statistical timing analysis framework, we demonstrate the effectiveness of the proposed methodology for delay defect diagnosis, and discuss experimental results based on benchmark circuits.


IEEE Transactions on Very Large Scale Integration Systems | 2008

Diagnosis Framework for Locating Failed Segments of Path Delay Faults

Ying-Yen Chen; Jing-Jia Liou

Diagnosis tools can be used to speed up the process for finding the root causes of functional or performance problems in a VLSI circuit. In this paper, we propose a method to locate possible segments that cause extra delays on circuit paths. We use the delay bounds of the tested paths to build linear constraints. By guiding the solutions of the linear constraints solved by a linear programming solver, we can identify segments with extra delays. Also, with the ranks of segment delays, we can prioritize the search for possible locations of failed segments. Besides, we also propose to reduce the search space by identifying indistinguishable segments. Essentially, we cannot separate segments in the same category no matter which segments have faults. This approach greatly increases the efficiency of the diagnosis process. Three main features of the proposed method are that: 1) it does not assume any delay fault model; 2) it derives diagnosis results directly from test data; and 3) it is able to diagnose failures caused by multiple delay defects. These features make our proposed method more realistic on solving the real problems occurring in the manufacturing process. In the experimental results, for most cases of injecting 5% of the longest path delay, the probabilities are over 90% for locating faulty segments within the list of top-ten suspects, and the average rankings, that is often referred to as first hit rank (FHR), which is defined as the rank of the first hit of the defect in the ranking list, are among the top five suspect locations for single fault injection. In the experimental results of multiple faults injection, the average FHRs are also lower than 5 for all cases of injecting 1% of the longest path delay.

Collaboration


Dive into the Jing-Jia Liou's collaboration.

Top Co-Authors

Avatar

Kwang-Ting Cheng

Hong Kong University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Chih-Tsun Huang

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Angela Krstic

University of California

View shared research outputs
Top Co-Authors

Avatar

Li-C. Wang

University of California

View shared research outputs
Top Co-Authors

Avatar

Cheng-Wen Wu

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Ying-Yen Chen

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Ting-Shuo Hsu

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar

Hsi-Pin Ma

National Tsing Hua University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shi-Yu Huang

National Tsing Hua University

View shared research outputs
Researchain Logo
Decentralizing Knowledge