Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Junichi Kitano is active.

Publication


Featured researches published by Junichi Kitano.


Journal of Micro-nanolithography Mems and Moems | 2011

Resolution–linewidth roughness–sensitivity performance tradeoffs for an extreme ultraviolet polymer bound photo-acid generator resist

Roel Gronheid; Alessandro Vaglio Pret; Benjamen M. Rathsack; Joshua S. Hooge; Steven Scheer; Kathleen Nafus; Hideo Shite; Junichi Kitano

The goal of this work is to use a combination of experiment and calibrated resist models to understand the impact of photo-acid generator (PAG) and sensitizer loading on the performance of a polymer bound PAG resist based processes for extreme ultraviolet (EUV) lithography. This paper describes construction of a chemically amplified resist model across 248 nm, 193 nm, and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ∼8× higher than at 248 or 193 nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (∼8 nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or linewidth roughness. For these materials the quantum efficiency of acid formation in EUV is found to be ∼12× higher than at 248 nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.


advanced semiconductor manufacturing conference | 1995

A semiconductor device manufacturer's efforts for controlling and evaluating atmospheric pollution

Keiko Kanzawa; Junichi Kitano

Pollutants which are said to affect LSI yields include not only particles, but also gaseous chemicals. As semiconductor devices are recently miniaturized and highly integrated, great importance is placed on the control and elimination of chemicals, such as a trace of ions and organic substances, that arise from clean rooms, manufacturing equipment components, agents, gases, people, and whatever exists in manufacturing environments. As an example, let us consider the NH/sub 3/ component in clean rooms. Since this component raises problems with the use of chemically amplified resists (hereinafter referred to as CA resists) and could cause the generation of post-process particles in some film forming equipment, the NH/sub 3/ concentration in the process environment must be reduced. The use of chemical filters is of help as a means for removing such gaseous chemicals. However, since the clean room environment is in a wide range of variety, it is necessary to understand various characteristics of chemical filters as used in various conditions (including the pollutant concentration, humidity, and other factors in the clean room). It is expected that local measures, including a means for satisfying cost reduction requirements, will be necessary for cleaning process environments. This means that greater importance will be placed on atmospheric pollution control technology that is aimed to assure stable operation of manufacturing equipment. This paper discusses our efforts for evaluating NH/sub 3/ eliminating filters and controlling ion pollution using these filters.


Proceedings of SPIE | 2010

EUV RLS performance tradeoffs for a polymer bound PAG resist

Roel Gronheid; Alessandro Vaglio Pret; Benjamen M. Rathsack; Joshua S. Hooge; Steven Scheer; Kathleen Nafus; Hideo Shite; Junichi Kitano

This paper describes construction of a chemically amplified resist model across 248nm, 193nm and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This very constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ~8X higher than at 248 or 193nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (~7nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or line width roughness. For the materials the quantum efficiency of acid formation in EUV is found to be ~12X higher than at 248nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.


Proceedings of SPIE | 2010

Further investigation of EUV process sensitivities for wafer track processing

Neil Bradon; Kathleen Nafus; Hideo Shite; Junichi Kitano; Hitoshi Kosugi; Mieke Goethals; Shaunee Cheng; Jan Hermans; Eric Hendrickx; Bart Baudemprez; D. Van den Heuvel

As Extreme ultraviolet (EUV) lithography technology shows promising results below 40nm feature sizes, TOKYO ELECTRON LTD.(TEL) is committed to understanding the fundamentals needed to improve our technology, thereby enabling customers to meet roadmap expectations. TEL continues collaboration with imec for evaluation of Coater/Developer processing sensitivities using the ASML Alpha Demo Tool for EUV exposures. The results from the collaboration help develop the necessary hardware for EUV Coater/Developer processing. In previous work, processing sensitivities of the resist materials were investigated to determine the impact on critical dimension (CD) uniformity and defectivity. In this work, new promising resist materials have been studied and more information pertaining to EUV exposures was obtained. Specifically, post exposure bake (PEB) impact to CD is studied in addition to dissolution characteristics and resist material hydrophobicity. Additionally, initial results show the current status of CDU and defectivity with the ADT/CLEAN TRACK ACTTM 12 lithocluster. Analysis of a five wafer batch of CDU wafers shows within wafer and wafer to wafer contribution from track processing. A pareto of a patterned wafer defectivity test gives initial insight into the process defects with the current processing conditions. From analysis of these data, its shown that while improvements in processing are certainly possible, the initial results indicate a manufacturable process for EUV.


Proceedings of SPIE | 2010

LWR reduction by novel lithographic and etch techniques

Shinji Kobayashi; Satoru Shimura; Tetsu Kawasaki; Kathleen Nafus; Shinichi Hatakeyama; Hideo Shite; Eiichi Nishimura; Masato Kushibiki; Arisa Hara; Roel Gronheid; Alessandro Vaglio-Pret; Junichi Kitano

The reduction of line width roughness (LWR) is a critical issue in developing resist materials for EUV lithography and LWR represents a trade-off between sensitivity and resolution. Additional post pattern processing is expected as an LWR reduction technique without impact to resolution or sensitivity. This paper reports the LWR reducing effect of a post-development resist-smoothing process. Approximately 20% improvement in LWR for ArF immersion exposed resist patterns was achieved for two types of resist and two illumination conditions. The LWR after BARC etching in which resist-smoothing was applied was decreased relative to the case in which smoothing was not applied. Resist-smoothing process also reduced LWR of an EUV exposure resist pattern by approximately 10%. These results confirm that resistsmoothing process is robust for different resists and illumination conditions.


Proceedings of SPIE | 2009

CD uniformity improvement for double-patterning lithography (litho-litho-etch) using freezing process

Hisanori Sugimachi; Hitoshi Kosugi; Tsuyoshi Shibata; Junichi Kitano; Koichi Fujiwara; Kouji Itou; Michihiro Mita; Akimasa Soyano; Shiro Kusumoto; Motoyuki Shima; Yoshikazu Yamaguchi

After an analysis of the factors that causes critical dimension (CD) variation in the lithography process of the LLE (Litho-Litho-Etch) double-patterning technology that employs the freezing process, an optimum process for freezing the resist patterns to reduce the CD variation, which occurs after the 2nd litho process, was achieved. By optimizing the track parameters of freezing process, CD variation is likely to be reduced not only in the 1st resist pattern but also in the 2nd resist pattern. The optimum conditions were adopted to form patterns of 40 nm resist lines and spaces in the evaluations conducted in this paper. The formation result showed improvement of 3 sigma of the within-wafer CD uniformity of both the 1st resist pattern and the 2nd resist pattern, by about 13% and 46% respectively.


Proceedings of SPIE | 2008

Finite element modeling of PAG leaching and water uptake in immersion lithography resist materials

Ben Rathsack; Steven Scheer; Yuhei Kuwahara; Junichi Kitano; Roel Gronheid; Christina Baerts

The fundamental understanding of photo acid generator (PAG) leaching and water uptake is important for the design of robust immersion imaging processes; including resist, scanner and track hardware design. Experimental studies show that PAG leaching occurs over a very short time scale (< 10 seconds). Time-of-flight secondary ion mass spectrometry (TOF-SIMS) analysis also reveals that PAG leaching occurs at the top surface of a resist film. The time scale and depth of PAG leaching is important to understanding the fundamental impact of immersion process steps on imaging performance. Finite element modeling is used to study the diffusion of water into a resist and the diffusion of PAG out of a resist into flowing water. Experimental mass uptake of water in a 150 nm resist film was collected experimentally using a quartz crystal microbalance (QCM). The diffusion coefficient of water in the thin resist is calculated to be 1.5e-11 cm2/s. PAG leaching data was collected from an experimental apparatus that can flow water over a resist coated 200 mm wafer (dynamic WEXA2). The PAG diffusion model shows that the diffusion coefficient transitions from 1.4 e-14 cm2/s in the surface of the film to 1.0 e-16 cm2/s in the bulk of the film. The finite element simulations show an excellent physical correlation to the experimental PAG leaching data. The extraction of resist component diffusion coefficients enables the modeling of component depth profiles in thin resist materials. The component depth profile information is then used to model the impact of resist design and immersion resist processing on 32 nm node imaging performance.


Proceedings of SPIE | 2008

Process development for high scan speed ArF immersion lithography

Nobuji Matsumura; Norihiko Sugie; Kentaro Goto; Koichi Fujiwara; Yoshikazu Yamaguchi; Hirokazu Tanizaki; Katsushi Nakano; Tomoharu Fujiwara; Shinya Wakamizu; Hirofumi Takeguchi; Hiroshi Arima; Hideharu Kyoda; Kosuke Yoshihara; Junichi Kitano

It has been found that 193nm immersion lithography technology can achieve smaller patterns without any modification to the technology infrastructure of existing state-of-the-art 193nm dry lithography. This has made 193nm immersion lithography a promising technology for mass production processes. Recently, scanning speed of the exposure stage has been increasing in order to achieve high throughput for mass production. At present, the adoption of a topcoat is one of the promising candidates for this high speed scanning process. On the other hand, the demand for a non-topcoat process is being pursued from a C.O.O. (cost of ownership) point of view but there are still issues being revealed and concerns to be solved. In this report, feasibility of a comprehensive process for high scanning ArF immersion lithography was discussed. As for the topcoat process, a high receding contact angle topcoat, such as TC-A (JSR), is proving to be a good candidate for mass production using high scanning speed immersion lithography. TC-A has a similar defectivity and lithographic performance to TCX041 (JSR). On the other hand, the feasibility of a non-topcoat process was also investigated. CD uniformity, defectivity and lithography performance of AIM5120JN and AIM5570JN (JSR) data indicate that the non-topcoat process can be adopted for mass production process. An immersion cluster comprised of a high volume production immersion exposure tool, S610C (NIKON) having 1.3 NA and CLEAN TRACKTM LITHIUSTM i+ (TEL) track system were used in this study.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

193nm immersion process defect generation and reduction mechanism investigation using analytical methods

Masashi Enomoto; Shinichi Hatakeyama; Takafumi Niwa; Tadatoshi Tomita; Hideharu Kyoda; Junichi Kitano; Satoru Shimura; Tetsu Kawasaki

Utilizing de-ionized water as the medium between the wafer and lens of the exposure system and realizing high numerical aperture (NA), 193-nm immersion lithography is being developed at a great pace towards practical application. Recent improvements in materials, processing and exposure systems have dramatically reduced the defectivity levels in immersion processing. However, in order to completely eradicate immersion related defects and achieve defectivity levels required for ideal productivity, further investigation into the defect generation mechanism and full understanding of the improvements garnered so far is required. It is known that leaching of resist component materials during exposure and penetration of remaining water from the immersion scanning process are two key contributors towards immersion related defects. Additionally, the necessity to increase the hydrophobicity of the resist materials has had a signification effect on remaining resist residues. In order to more fully understand the generation of defects from the these contributions, it is necessary not only to analyze properties of the defects, but also investigate the change in composition originating from advanced processing techniques that have shown improvements in defectivity performance.


Advances in resist technology and processing. Conference | 2005

Optimization of equipment for 193-nm immersion processing

Takafumi Niwa; Masashi Enomoto; Satoru Shimura; Hideharu Kyoda; Tetsu Kawasaki; Junichi Kitano

For immersion lithography at 193 nm, there is concern that the immersion of resist in water during exposure might cause water to penetrate the resist or resist components to dissolve into water, or that water remaining after exposure might affect subsequent processes. It is also thought that the same concerns are likely to be felt even if using a protective top coat. In this paper, we report on three key findings. First, after immersing resist in water using virtual immersion methods and evaluating the effect of water on critical dimension (CD) and defects, it was found that CD changes and defects increase. Second, as a result of performing the same evaluation when using a top coat, it was found that CD changes and defects increase despite top-coat application. Finally, a significant amount of knowledge can be obtained for the development of optimal 193-nm immersion lithography equipment as a result of wafer processing using real inline tools for immersion exposure and coating/developing.

Collaboration


Dive into the Junichi Kitano's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge