Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kandabara Tapily is active.

Publication


Featured researches published by Kandabara Tapily.


international electron devices meeting | 2012

Effective Schottky Barrier Height modulation using dielectric dipoles for source/drain specific contact resistivity improvement

K.-W. Ang; Kausik Majumdar; K. Matthews; Chadwin D. Young; C.R. Kenney; C. Hobbs; P. D. Kirsch; R. Jammy; Robert D. Clark; Steven Consiglio; Kandabara Tapily; Ying Trickett; Genji Nakamura; Cory Wajda; Gert J. Leusink; M. Rodgers; S. Gausepohl

We demonstrate statistically significant data for specific contact resistivity (ρ<sub>c</sub>) of sub-10<sup>-8</sup>Ω-cm<sup>2</sup> and sub-2×10<sup>-8</sup>Ω-cm<sup>2</sup> for N-type and P-type Si respectively on 300mm wafer by introducing ultra-thin ALD high-k dielectric layer(s) between the metal and Si. A 6-terminal Cross-Bridge Kelvin (6T-CBK) structure was used for the extraction to achieve excellent resolution in this small ρ<sub>c</sub> range. With the help of measurements from multiple dielectric stacks and Non-Equilibrium Greens Function (NEGF) based quantum transport calculations, we clearly show that the suppression of evanescent metal induced gap states (MIGS) and formation of interface dipole play significant role to reduce the ρ<sub>c</sub> as long as the tunneling resistance of the dielectric stack is small. Finally, transient response, break down mechanism and technology benchmarking are discussed which show promise for sub-14nm node applications.


Meeting Abstracts | 2012

Texturing and Tetragonal Phase Stabilization of ALD HfxZr1-xO2 Using a Cyclical Deposition and Annealing Scheme

Kandabara Tapily; Steven Consiglio; Robert D. Clark; R. Vasic; Eric Bersch; Jean Jordan-Sweet; Ilyssa Wells; Gert J. Leusink; Alain C. Diebold

As a key enabler in the continuation of CMOS device scaling, high-k HfO2-based dielectrics have recently replaced SiO2-based gate dielectrics in the 45 nm technology node and beyond. In order to further continue this scaling, improvements of key film properties, such as k-value and leakage current, are needed. The electrical properties of HfO2-based dielectrics may be enhanced by structural modifications, since the k-value is predicted to be dependent on crystalline phase (monoclinic k=16, tetragonal k=70 and cubic k=29) [1]. HfO2 is also known to suffer from threshold voltage shift and reliability issues [2]. In this regard, some recent reports have shown both tetragonal phase formation and improved reliability for HfO2 alloyed with ZrO2 [2,3]. Accordingly, we have deposited HfxZr1-xO2 films by atomic layer deposition (ALD) with different Hf/Zr ratios combined with a cyclical deposition and annealing scheme (termed DADA) in which an annealing is performed after every 20 ALD cycles. We have previously reported electrical improvement in HfO2 films grown using the cyclical deposition and annealing scheme over as-deposited and post-deposition annealed (PDA) films [4]. We have also shown the presence of monoclinic fiber texture in our HfO2 films grown by the same method in contrast to random grain orientation in PDA-processed films [5]. In this study, the physical and structural properties of these HfxZr1-xO2 films were investigated by XRR, XPS, TEM and XRD. Figure 1 shows the relationship between the density measured by XRR and the Zr metal cation % measured by XPS for 100 cycle ALD HfxZr1-xO2 films with varying Zr and Hf precursor pulse ratios. The density was observed to decrease linearly as the Zr % in the films was increased, which is consistent with the lower density of ZrO2 compared to HfO2. Figure 1 indicates that the Zr % can be well controlled by changing precursor pulse ratios in the ALD process. To investigate the crystallinity of the films as a function of Zr %, we performed grazing incidence in-plane X-ray diffraction (GIIXRD) and pole figure measurements using synchrotron radiation (NSLS X20A beamline*). Figure 2 shows the GIIXRD spectra taken for 100 cycle HfxZr1-xO2 films with different Zr %. The ALD HfxZr1-xO2 films with low Zr % show crystallization in the monoclinic (M) phase with strong inplane reflections from M{110} planes. However, it can be seen that the intensity of the M(110) peak reduces with increasing Zr %. For ALD ZrO2 films, the M(110) reflection is absent as the (220) peak due to the tetragonal (T) phase strengthens. This observation is consistent with pole figure measurements (Figure 3) which indicate an increase in T(111) preferred orientation for the HfxZr1-xO2 films with increasing Zr %. Figure 3 indicates a strong T(111) fiber texturing for ALD ZrO2 films while M(-111) fiber texture decreases with increasing Zr addition. Similarly to our previous study on HfO2 [5], the PDA films show no preferential orientation and were observed to result in a mixed phase of monoclinic, orthorhombic and/or tetragonal. In summary, we have successfully added ZrO2 into ALD HfO2 films using a cyclical deposition and annealing scheme. For high Zr %, the structural characterization showed stabilization of HfxZr1-xO2 into tetragonal phase with a preferred (111) orientation.


Applied Physics Letters | 2015

Impact of cyclic plasma treatment on oxygen vacancy defects in TiN/HfZrO/SiON/Si gate stacks

Nasir Uddin Bhuyian; S. Poddar; D. Misra; Kandabara Tapily; Robert D. Clark; Steven Consiglio; Cory Wajda; G. Nakamura; Gert J. Leusink

This work evaluates the defects in HfZrO as a function of Zr addition into HfO2 and when the dielectric was subjected to a slot-plane-antenna (SPA) plasma treatment in a cyclic process to form TiN/HfZrO/SiON/Si gate stacks. The defect energy levels, estimated by temperature-dependent current-voltage measurements, suggest that Zr addition in HfO2 modifies the charge state of the oxygen vacancy formation, V+. The influence of electron affinity variation of Hf and Zr ions on the charged oxygen vacancy levels seems to have contributed to the increase in defect activation energy, Ea, from 0.32 eV to 0.4 eV. The cyclic SPA plasma exposure further reduces the oxygen vacancy formation because of the film densification. When the dielectric was subjected to a constant voltage stress, the charge state oxygen vacancy formation changes to V2+ and improvement was eliminated. The trap assisted tunneling behavior, as observed by the stress induced leakage current characteristics, further supports the oxygen vacancy forma...


symposium on vlsi technology | 2014

Statistical demonstration of silicide-like uniform and ultra-low specific contact resistivity using a metal/high-k/Si stack in a sidewall contact test structure

Kausik Majumdar; Robert D. Clark; T. Ngai; Kandabara Tapily; S. Consiglio; E. Bersch; K. Matthews; E. Stinzianni; Y. Trickett; G. Nakamura; Cory Wajda; Gert J. Leusink; H. Chong; V. Kaushik; J. C. Woicik; C. Hobbs; P. D. Kirsch

We demonstrate a 300mm wafer scale conformal contact process to achieve uniform ultra-low specific contact resistivity (ρ<sub>c</sub>) for metal/high-k/n<sup>+</sup>Si (MIS) contacts. To achieve conformal contacts, we use a sidewall TLM (STLM) test structure that helps to minimize current crowding effect and variability. A systematic study is provided by varying doping density (N<sub>D</sub>), high-k material (LaO<sub>x</sub>, ZrO<sub>x</sub> and TiO<sub>x</sub>) and high-k thickness (t<sub>d</sub>) to optimize ρ<sub>c</sub>. The obtained ρ<sub>c</sub> and its uniformity are found to be comparable with standard nickel silicide technology, with a possibility of further improvement by use of lower work-function metal.


Journal of Applied Physics | 2013

Multi-technique x-ray and optical characterization of crystalline phase, texture, and electronic structure of atomic layer deposited Hf1−xZrxO2 gate dielectrics deposited by a cyclical deposition and annealing scheme

R. Vasic; Steven Consiglio; Robert D. Clark; Kandabara Tapily; Shawn Sallis; Bo Chen; David Newby; Manasa Medikonda; Gangadhara Raja Muthinti; Eric Bersch; Jean Jordan-Sweet; Christian Lavoie; Gert J. Leusink; Alain C. Diebold

A multi-technique approach was used to determine the crystalline phase, texture, and electronic structure of Hf1−xZrxO2 (x = 0–1) high-k gate dielectric thin films grown by atomic layer deposition using a cyclical deposition and annealing method. X-ray diffraction (XRD) analysis performed in both grazing incidence and pole figure configurations identified the tetragonal phase for Zr/(Zr + Hf)% = 58% and a concomitant increase in tetragonal phase for further increase in Zr content. X-ray absorption spectroscopy (XAS) was used to determine the local atomic structure and metal oxide bond orientation. Polarization dependent XAS in normal and grazing incidence showed preferential metal-oxygen bond orientation consistent with the texturing observed by XRD. X-ray photoemission spectroscopy (XPS) and spectroscopic ellipsometry (SE) were also performed with special focus on spectral features which arise as a consequence of atomic ordering and specific crystalline phase. The combination of XAS, XPS, SE, and XRD ena...


Proceedings of SPIE | 2016

Self-aligned quadruple patterning integration using spacer on spacer pitch splitting at the resist level for sub-32nm pitch applications

Angelique Raley; Sophie Thibaut; Nihar Mohanty; Kal Subhadeep; Satoru Nakamura; Akiteru Ko; David O'Meara; Kandabara Tapily; Steve Consiglio; Peter Biolsi

Multiple patterning integrations for sub 193nm lithographic resolution are becoming increasingly creative in pursuit of cost reduction and achieving desired critical dimension. Implementing these schemes into production can be a challenge. Aimed at reducing cost associated with multiple patterning for the 10nm node and beyond, we will present a self-aligned quadruple patterning strategy which uses 193nm immersion lithography resist pattern as a first mandrel and a spacer on spacer integration to enable a final pitch of 30nm. This option could be implemented for front end or back end critical layers such as Fin and Mx. Investigation of combinations of low temperature ALD films such as TiO, Al2O3 and SiO2 will be reviewed to determine the best candidates to meet the required selectivities, LER/LWR and CDs.


Journal of Vacuum Science and Technology | 2016

Comparison of B2O3 and BN deposited by atomic layer deposition for forming ultrashallow dopant regions by solid state diffusion

Steven Consiglio; Robert D. Clark; David O'Meara; Cory Wajda; Kandabara Tapily; Gert J. Leusink

In this study, the authors investigated atomic layer deposition (ALD) of B2O3 and BN for conformal, ultrashallow B doping applications and compared the effect of dopant-containing overlayers on sheet resistance (Rs) and B profiles for both types of films subjected to a drive-in thermal anneal. For the deposition of B2O3, tris(dimethylamido)borane and O3 were used as coreactants and for the deposition of BN, BCl3 and NH3 were used as coreactants. Due to the extreme air instability of B2O3 films, physical analysis was performed on B2O3 films, which were capped in-situ with ∼30 A ALD grown Al2O3 layers. For the BN films, in-situ ALD grown Si3N4 capping layers (∼30 A) were used for comparison. From spectroscopic ellipsometry, a thickness decrease was observed after 1000 °C, 30 s anneal for the B2O3 containing stack with 60 ALD cycles of B2O3, whereas the BN containing stacks showed negligible thickness decrease after the annealing step, regardless of the number of BN cycles tested. The postanneal reduction in...


Journal of Applied Physics | 2016

Role of Ge and Si substrates in higher-k tetragonal phase formation and interfacial properties in cyclical atomic layer deposition-anneal Hf1−xZrxO2/Al2O3 thin film stacks

Sonal Dey; Kandabara Tapily; Steven Consiglio; Robert D. Clark; Cory Wajda; Gert J. Leusink; Arthur R. Woll; Alain C. Diebold

Using a five-step atomic layer deposition (ALD)-anneal (DADA) process, with 20 ALD cycles of metalorganic precursors followed by 40 s of rapid thermal annealing at 1073 K, we have developed highly crystalline Hf1−xZrxO2 (0 ≤ x ≤ 1) thin films (<7 nm) on ∼1 nm ALD Al2O3 passivated Ge and Si substrates for applications in higher-k dielectric metal oxide semiconductor field effect transistors below 10 nm technology node. By applying synchrotron grazing incidence x-ray d-spacing maps, x-ray photoelectron spectroscopy (XPS), and angle-resolved XPS, we have identified a monoclinic to tetragonal phase transition with increasing ZrO2 content, elucidated the role of the Ge vs Si substrates in complete tetragonal phase formation (CTPF), and determined the interfacial characteristics of these technologically relevant films. The ZrO2 concentration required for CTPF is lower on Ge than on Si substrates (x ∼ 0.5 vs. x ∼ 0.86), which we attribute as arising from the growth of an ultra-thin layer of metal germanates betw...


Journal of Vacuum Science and Technology | 2013

HfxZr1−xO2 compositional control using co-injection atomic layer deposition

Steven Consiglio; Kandabara Tapily; Robert D. Clark; Genji Nakamura; Cory Wajda; Gert J. Leusink

As a replacement for SiO2 based gate dielectrics, HfO2 with an admixture of ZrO2 has the potential to provide a higher dielectric constant than pure HfO2 by means of stabilization of higher-k phases. Accordingly, in this study the authors have pursued a means to control composition of HfxZr1−xO2 films grown by atomic layer deposition by simultaneously flowing Hf and Zr metal precursors during the precursor exposure step and varying the molar flow ratio. Using the tetrakis(ethylmethylamino) Hf and Zr precursors, TEMAH and TEMAZ, with either H2O or O3 co-reactants, the co-injection approach for HfxZr1−xO2 was compared with alternating HfO2 and ZrO2 growth cycles and was observed to allow uniform and tunable composition control. For the co-injection process, deviation from the cycle ratio trendline suggests more efficient chemisorption of TEMAZ compared to TEMAH. The authors have also evaluated these films in metal–oxide–semiconductor capacitor structures and verified the electrical equivalence and similar w...


Journal of Vacuum Science and Technology | 2017

Atomic layer deposited ultrathin metal nitride barrier layers for ruthenium interconnect applications

Sonal Dey; Kai-Hung Yu; Steven Consiglio; Kandabara Tapily; Takahiro Hakamata; Cory Wajda; Gert J. Leusink; Jean Jordan-Sweet; Christian Lavoie; David Muir; Beatriz Moreno; Alain C. Diebold

Resistance capacitance time delay in Cu interconnects is becoming a significant factor requiring further performance improvements in future nanoelectronic devices. Choice of alternate interconnect materials, for example, refractory metals, and subsequent integration with underlying barrier and liner layers are extremely challenging for the sub-10 nm nodes. The development of conformal deposition processes for alternate interconnects, liner, and barrier materials are crucial in order for implementation of a possible replacement for Cu interconnects for narrow line widths. In this study, the authors report on ultrathin (∼3 nm) chemical vapor deposition (CVD) grown ruthenium films on 0.5 and 1 nm thick metal nitride (TiN, TaN) barrier layers deposited via atomic layer deposition (ALD). Using scanning electron microscopy, the authors determined the effect of the underlying barrier layer on the coverage of the ruthenium overlayer. The authors utilized synchrotron x-ray diffraction with in situ rapid thermal an...

Collaboration


Dive into the Kandabara Tapily's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Alain C. Diebold

State University of New York System

View shared research outputs
Top Co-Authors

Avatar

D. Misra

New Jersey Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Mdnasiruddin Bhuyian

New Jersey Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge