Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Karen Petrillo is active.

Publication


Featured researches published by Karen Petrillo.


Proceedings of SPIE | 2011

Line width roughness control and pattern collapse solutions for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Jacque Georger; Liping Ren; Kyoungyoung Cho; Warren Montgomery; Stefan Wurm; Shinichiro Kawakami; Shannon W. Dunn; Akiteryu Ko

Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and resolution in the resist patterning process has improved. Another critical feature that has become difficult to control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in EUVL and leading-edge ArF immersion lithography. This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes, etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development activities and industry requirements for both LWR and pattern collapse.


Proceedings of SPIE | 2013

Resist outgassing contamination growth results using both photon and electron exposures

Gregory Denbeaux; Yudhishthir Kandel; Genevieve Kane; Diego Alvardo; Mihir Upadhyaya; Yashdeep Khopkar; Alexander Friz; Karen Petrillo; Jaewoong Sohn; Chandra Sarma; Dominic Ashworth

During exposure in an EUV scanner, photoresist and other materials coated on a wafer are known to outgas various species. As a requirement to pattern materials in an ASML NXE scanner, these materials need to be screened for outgassing and possible optics contamination. As part of the testing process, a resist-coated wafer is exposed in a vacuum chamber mimicking the conditions inside an EUV scanner. The resist exposure source can be either EUV photons or electron beam (e-beam). This presentation will cover the results to date on a SEMATECH program to study resist outgassing from both the commercial system from EUV Tech and a custom Resist Outgassing and Exposure (ROX) tool. The EUV Tech results reported will be based on electron exposures of the photoresist, and the ROX results reported will be based on EUV photon exposures of the photoresist. The results reported will cover both tools and the measurements of over 80 commercial photoresists.


Journal of Vacuum Science & Technology B | 2007

Are extreme ultraviolet resists ready for the 32nm node

Karen Petrillo; Yayi Wei; Robert L. Brainard; Greg Denbeaux; Dario L. Goldfarb; Chiew-seng Koay; Jeff Mackey; Warren Montgomery; W. Pierson; Tom Wallow; O. R. Wood

The International Technology Roadmap for Semiconductors (ITRS) insertion point of extreme ultraviolet (EUV) lithography is the 32nm half-pitch node, and significant worldwide effort is being focused toward this goal. Potential road blocks have been identified and are being addressed. Readiness of EUV photoresists is one of the risk areas. According to the ITRS (www.itrs.net), a production-worthy EUV resist at 32nm half-pitch has to have a photospeed of ∼5mJ∕cm2 and line edge roughness (3σ) of 1.4nm. Toward this goal, the joint INVENT activity (AMD, CNSE, IBM, Micron, and Qimonda) at Albany has evaluated a broad range of EUV photoresists on various EUV exposure tools worldwide, including EUV MET at Lawrence Berkeley National Laboratory, EUV MET at SEMATECH Albany, and EUV interferometer at the Paul Scherrer Institute, Switzerland. This article will give a survey of the results, assessing the strengths and weaknesses of current materials.


Proceedings of SPIE | 2012

Line width roughness control for EUV patterning

Karen Petrillo; George Huang; Dominic Ashworth; Liping Ren; Kyoungyoung Cho; Stefan Wurm; Shinichiro Kawakami; Lior Huli; Shannon Dunn; Akiteru Ko

Controlling line width roughness (LWR) is a critical issue in extreme ultraviolet lithography (EUVL). High sensitivity, high resolution, and low LWR are required for EUV lithography resist. However, simultaneously achieving optimal properties through chemical tuning alone is difficult. The track process is one of the factors that impacts LWR. Enhancing track processes in EUV lithography is thus critical to controlling LWR. This paper describes an approach to mitigating LWR based on optimizing track-based and etch-based processes. It also presents the results of our newly developed track-based smoothing process as well as the results of combining several track-based techniques. The latest LWR performance from using track-based techniques, optimized track processes, and etch-based techniques will be highlighted.


Proceedings of SPIE | 2013

Resist process applications to improve EUV patterning

Karen Petrillo; Kyoungyoung Cho; Alexander Friz; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Stefan Wurm; Takashi Saito; Lior Huli; Akiteru Ko; Andrew Metz

Roughness control is a key technical issue in extreme ultraviolet (EUV) lithography. It applies to both line and space (L/S) and contact hole (C/H) structures. Recently, SEMATECH and Tokyo Electron Limited (TEL) developed several track-based techniques, including developer optimization, FIRM™ (Finishing up by Improved Rinse Material), and smoothing to reduce structural roughness. The combination of these techniques improved line width roughness (LWR) about 25% from the 2011 baseline of 32 nm L/S. C/H structures were also tested with the combination process. This paper describes our latest L/S and C/H roughness performance post-lithography and postetch. A feasibility study of negative tone develop (NTD) resists for EUV is also included.


Proceedings of SPIE | 2011

Coefficient of thermal expansion (CTE) in EUV lithography: LER and adhesion improvement

Craig Higgins; Charles Settens; Patricia Wolfe; Karen Petrillo; Robert Auger; Richard J. Matyi; Robert L. Brainard

Spin-on underlayers are currently being employed by the lithographic industry to improve the imaging performance of EUV resists. In this work, multiple examples have shown improved line-edge roughness (LER) of an open-source resist using new open-source underlayers in comparison to a primed silicon substrate. Additionally, several experiments demonstrate better resist adhesion on underlayers that have lower coefficients of thermal expansion (CTE). Both organic and inorganic underlayers provide better resist LER when their CTE is lower.


Journal of Vacuum Science & Technology B | 2009

Defectivity issues in topcoatless photoresists

Jason Cantone; Youri van Dommelen; Aiqin Jiang; Shannon W. Dunn; Tom Winter; Karen Petrillo; Rick Johnson; Peggy Lawson; Will Conley; Ryan P. Callahan

One method currently being employed to reduce the overall lithography process complexity and cost is the utilization of a topcoatless photoresist. The development of these materials administers an additive to create the same hydrophobic characteristics as those created by advanced topcoats. The main challenge for topcoatless resists is to increase the hydrophobicity without causing too much inhibition at the resist surface which leads to bridging or residue-type defects. The key to such a design lies in creating a balance between leaching control and dissolution characteristics of the resist without degrading lithography performance and increasing defectivity. The addition of these hydrophobic additives into existing ArF photoresist systems has been shown to increase both receding contact angle and advancing contact angle in water-based immersion lithography. In this work, the authors have demonstrated that the defectivity levels of topcoatless resist are equal to or better than the industry standard of t...


Proceedings of SPIE | 2014

SEMATECH's cycles of learning test for EUV photoresist and its applications for process improvement

Jun Sung Chun; Shih-Hui Jen; Karen Petrillo; Cecilia Montgomery; Dominic Ashworth; Mark Neisser; Takashi Saito; Lior Huli; David Hetzer

With current progress in exposure source power, novel resist materials, and post processing techniques, EUV is getting closer to the production environment. As reported continuously, SEMATECH established cycles of learning program. The data generated from the program has been utilized to measure current state of the art of EUV photoresist for production or pilot line use. Thanks to SEMATECH core and associate members’ attention to the project, numerous EUV samples have been tested and they were based on the best performing EUV resists from associate members. This year we completed the evaluations for under-layers, lines and spaces, and contact holes. We also applied track based techniques to drive both low line edge roughness control and enlarge the process window with techniques such as FIRMTM and track based smoothing process. In this paper we will discuss about the results from cycles of learning test and show post-processing results of the three best line and space resists when combined with different FIRMTM materials.


Proceedings of SPIE | 2013

Simulation-assisted layout biasing in EUV lithography and prediction of an optimum resist parameter space

Chandra Sarma; John J. Biafore; Kyoungyong Cho; Karen Petrillo; Mark Neisser

This paper demonstrates a new simulation-based methodology for optimizing critical dimension (CD) bias for contact holes (CH) arrays using several different extreme ultraviolet (EUV) resists that were fully calibrated and verified with physical resist models. The bias for CH was optimized using local CD uniformity (CDU) 3-sigma as a cost function. The CD sigma variations of near-neighbor contact holes were simulated as a function of dose-to-size and mask bias, averaged over a large number of stochastic trials. There is a distinct bias for minimum CD sigma accompanied by an increase in the process window. The results are confirmed with wafer data. We will discuss the results in terms of EUV photon shot noise coupled with resist parameters. The simulation results will be used to predict a parameter space for EUV resist that can optimize line edge roughness (LER)/resolution/process window and CDU. Finally, various tradeoffs will be presented that will enable the process to perform in a high volume manufacturing environment.


Proceedings of SPIE | 2011

Additive-loaded EUV photoresists: performance enhancement and the underlying physics

Vikram K. Daga; Ying Lin; James J. Watkins; Uzodinma Okoroanyanwu; Karen Petrillo; Dominic Ashworth; Huagen Peng; Christopher L. Soles

A series of molecular glasses (MGs) protected with multiple tert-butoxylcarbonylmethyl (tBCM) groups are employed as additives to enhance extreme ultra violet (EUV) photolithographic performance of a hydroxystyrene based Environmentally Stable Chemically Amplified Photoresist (ESCAP). The tBCM groups deprotect to form carboxylic acids that are capable of hydrogen bonding with chain segments of the polymer resist. This approach enables a systematic study of the governing physics underlying the improved lithographic performance. While MGs inhibit solubility in all cases, we find that differences in the structure of the MGs can significantly affect the photoacid diffusivity. In our ongoing optimization of the structure and loading of MGs, photoacid generators (PAGs), and base quenchers, 25 nm to 30 nm resolution has been achieved. The structure-property relationships and the synergistic effects of employing small, multi-functional additives in the polymeric photoresists are studied using various characterizations.

Collaboration


Dive into the Karen Petrillo's collaboration.

Top Co-Authors

Avatar

Lior Huli

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Robert L. Brainard

State University of New York System

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge