Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Katherine Shu-Min Li is active.

Publication


Featured researches published by Katherine Shu-Min Li.


asia and south pacific design automation conference | 2009

Temperature-aware dynamic frequency and voltage scaling for reliability and yield enhancement

Yu-Wei Yang; Katherine Shu-Min Li

A novel oscillation-based on-chip thermal sensing architecture for dynamically adjusting supply voltage and clock frequency in System-on-Chip (SoC) is proposed. It is shown that the oscillation frequency of a ring oscillator reduces linearly as the temperature rises, and thus provides a good on-chip temperature sensing mechanism. An efficient Dynamic Frequency-to-Voltage Scaling (DF2VS) algorithm is proposed to dynamically adjust supply voltage according to the oscillation frequencies of the ring oscillators distributed in SoC so that thermal sensing can be carried at all potential hot spots. An on-chip Dynamic Voltage Scaling or Dynamic Voltage and Frequency Scaling (DVS or DVFS) monitor selects the supply voltage level and clock frequency according to the outputs of all thermal sensors. Experimental results on SoC benchmark circuits show the effectiveness of the algorithm that a 10% reduction in supply voltage alone can achieve about 20% power reduction (DVS scheme), and nearly 50% reduction in power is achievable if the clock frequency is also scaled down (DVFS scheme). The chip temperature is reduced accordingly.


asia and south pacific design automation conference | 2005

Oscillation ring based interconnect test scheme for SOC

Katherine Shu-Min Li; Chung Len Lee; Chauchin Su; Jwu E. Chen

We propose a novel oscillation ring (OR) test architecture for testing interconnects in SoC. In addition to stuck-at and open faults, this scheme can detect delay faults and crosstalk glitches. IEEE P1500 wrapper cells are modified. An efficient ring-generation algorithm is proposed to construct ORs based on a graph model. Experimental results on MCNC benchmark circuits show the feasibility of the scheme and the effectiveness of the algorithm. Our method achieves 100% fault coverage with a small number of tests.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2009

Scan-Chain Partition for High Test-Data Compressibility and Low Shift Power Under Routing Constraint

Sying-Jyan Wang; Katherine Shu-Min Li; Shih-Cheng Chen; Huai-Yan Shiu; Yun-Lung Chu

The degree of achievable test-data compression depends on not only the compression scheme but also the structure of the applied test data. Therefore, it is possible to improve the compression rate of a given test set by carefully organizing the way that test data are present in the scan structure. The relationship between signal probability and test-data entropy is explored in this paper, and the results show that the theoretical maximum compression can be increased through a partition of scan flip-flops such that the test data present in each partition have a skewed signal distribution. In essence, this approach simply puts similar scan flip-flops in an adjacent part of a scan chain, which also helps to reduce shift power in the scan test process. Furthermore, it is shown that the intrapartition scan-chain order has little impact on the compressibility of a test set; thus, it is easy to achieve higher test compression with low routing overhead. Experimental results show that the proposed partition method can raise the compression rates of various compression schemes by more than 17%, and the average reduction in shift power is about 50%. In contrast, the increase in routing length is limited.


ACM Transactions on Design Automation of Electronic Systems | 2008

Layout-aware scan chain reorder for launch-off-shift transition test coverage

Sying-Jyan Wang; Kuo-Lin Peng; Kuang-Cyun Hsiao; Katherine Shu-Min Li

Launch-off-shift (LOS) is a popular delay test technique for scan-based designs. However, it is usually not possible to achieve good delay fault coverage in LOS test due to conflicts in test vectors. In this article, we propose a layout-based scan chain ordering method to improve fault coverage for LOS test with limited routing overhead. A fast and effective algorithm is used to eliminate conflicts in test vectors while at the same time restrict the extra scan chain routing. This approach provides many advantages. (1) The proposed method can improve delay fault coverage for LOS test. (2) With layout information taken into account, the routing penalty is limited, and thus the impact on circuit performance will not be significant. Experimental results show that the proposed LOS test method achieves about the same level of delay fault coverage as enhanced scan does, while the average scan chain wire length is about 2.2 times of the shortest scan chain.


Journal of Electronic Testing | 2007

IEEE Standard 1500 Compatible Oscillation Ring Test Methodology for Interconnect Delay and Crosstalk Detection

Katherine Shu-Min Li; Chung-Len Lee; Chauchin Su; Jwu E. Chen

A novel oscillation ring (OR) test scheme and architecture for testing interconnects in SOC is proposed and demonstrated. In addition to stuck-at and open faults, this scheme can also detect delay faults and crosstalk glitches, which are otherwise very difficult to be tested under the traditional test schemes. IEEE Std. 1500 wrapper cells are modified to accommodate the test scheme. An efficient algorithm is proposed to construct ORs for SOC based on a graph model. Experimental results on MCNC benchmark circuits have been included to show the effectiveness of the algorithm. In all experiments, the scheme achieves 100% fault coverage with a small number of tests.


IEEE Transactions on Very Large Scale Integration Systems | 2013

CusNoC: Fast Full-Chip Custom NoC Generation

Katherine Shu-Min Li

We propose a full-chip synthesis methodology to construct custom network-on-chips (CusNoCs) for NoC-based systems. The proposed scheme generates irregular network topologies for application-specific designs with known communication demands. In this method, processors and the communication architecture can be synthesized simultaneously in the floorplanning process, and thus it is called CusNoC. CusNoC synthesizes CusNoC in two steps. The target network topology is first generated based on communication analysis. Processing elements are partitioned into groups such that the utility of routers will be maximized if a router is assigned to each group. In this way, the number of routers passed by a packet, or hops, is minimized, and so is the power consumption in the network. The final network topology is formed by properly connecting these groups. A wirelength-aware floor planning is then carried out to optimize circuit size as well as wirelength. Experimental results show that CusNoC produces custom NoCs with better performance than previous methods while the computation time is significantly shorter. This method is also more scalable, which makes it ideal for complicated systems.


international conference on connected vehicles and expo | 2015

An intelligent vehicular telematics platform for vehicle driving safety supporting system

Liang-Bi Chen; Hong-Yuan Li; Wan-Jung Chang; Jing-Jou Tang; Katherine Shu-Min Li

This paper proposes an intelligent vehicular telematics platform, which consists of an in-vehicle device connecting the CAN bus with an ODB-II bridge construction, and a mobile application software on smartphone for vehicle driving safety. The proposed platform can real-time monitor vehicular information (such as vehicle engine speed, oxygen, speed per hour, and water temperature), which can efficiently reduce major accidents occurrence ratio. Moreover, the proposed platform also provides immediate vehicle location GPS coordinates and date that can effectively help to recover the lost vehicles.


system-level interconnect prediction | 2005

Multilevel full-chip routing with testability and yield enhancement

Katherine Shu-Min Li; Chung-Len Lee; Yao-Wen Chang; Chauchin Su; Jwu E. Chen

We propose a multilevel full-chip routing algorithm that improves testability and diagnosability, manufacturability, and signal integrity for yield enhancement. Two major issues are addressed. 1) The oscillation ring test (ORT) and its diagnosis scheme for interconnects based on the popular IEEE Standard 1500 are integrated into the multilevel routing framework to achieve testability enhancement. We augment the traditional multilevel framework of coarsening and uncoarsening by introducing a preprocessing stage that analyzes the interconnect structure for better resource estimation before the coarsening stage, and a final stage after uncoarsening that improves testability to achieve 100% interconnect fault coverage and maximal diagnosability. 2) We present a heuristic to reduce routing congestion to optimize the multiple-fault probability, chemical-mechanical polishing- and optical proximity correction-induced manufacturability, and crosstalk effects, for yield improvement. Experimental results on the Microelectronics Center for North Carolina benchmark circuits show that the proposed ORT method achieves 100% fault coverage and the optimal diagnosis resolution for interconnects. Further, the multilevel routing algorithm effectively balances the routing density to achieve 100% routing completion.


international symposium on consumer electronics | 2014

Development of a dual-mode visible light communications wireless digital conference system

Liang-Bi Chen; Jui-Hsiang Chang; Chung-Heng Chuang; Chaio-Hsuan Chuang; Yung-Chang Tseng; Chih-Lin Hung; Chao-Wen Wu; Katherine Shu-Min Li

This paper presents a wireless digital conference system which adopts dual-mode (white-light LED and infrared light) visible light communications (VLCs) wireless technique, which the downlink communication uses white-light LED transmission and the uplink communication chooses infrared transmission. Compared to traditional RF-based wireless digital conference system, our proposed VLC-based wireless digital conference system can achieve benefits of no regulation, no EMI, eye-safety, security and integration with indoor LED lighting.


hardware oriented security and trust | 2016

Test generation for combinational hardware Trojans

Sying-Jyan Wang; Jhih-Yu Wei; Shih-Heng Huang; Katherine Shu-Min Li

Hardware Trojans become a security threat to the integrated circuit supply chain. Detecting hardware Trojans is difficult as such circuits are stealthy in nature and triggered only under rare conditions. Traditional ATPG patterns are not useful for Trojan activation, and in general random patterns have to be applied for Trojan detection. In this paper we will first analyze how combinational rare conditions can be constructed in a systemic way, so that a Trojan circuit with a desired triggering probability can be synthesized accordingly. A watch list of Trojan candidates can be constructed according to the analysis. A set of test cubes can be generated from the candidates, and experimental results that the number of test cubes is restricted in most cases. The number of test vectors can be further reduced when physical layout information is taken into account. In addition, we can augment the test cubes with random assignment of X-bits to deal with addition trigger signals other than the target events. The results of this study should be helpful to the development of Trojan detection methods.

Collaboration


Dive into the Katherine Shu-Min Li's collaboration.

Top Co-Authors

Avatar

Sying-Jyan Wang

National Chung Hsing University

View shared research outputs
Top Co-Authors

Avatar

Liang-Bi Chen

National Sun Yat-sen University

View shared research outputs
Top Co-Authors

Avatar

Bo-Chuan Cheng

National Sun Yat-sen University

View shared research outputs
Top Co-Authors

Avatar

Chauchin Su

National Chiao Tung University

View shared research outputs
Top Co-Authors

Avatar

Jwu E. Chen

National Central University

View shared research outputs
Top Co-Authors

Avatar

Yingchieh Ho

National Dong Hwa University

View shared research outputs
Top Co-Authors

Avatar

Ruei-Ting Gu

National Sun Yat-sen University

View shared research outputs
Top Co-Authors

Avatar

Wan-Jung Chang

Southern Taiwan University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Jing-Jou Tang

Southern Taiwan University of Science and Technology

View shared research outputs
Top Co-Authors

Avatar

Tsung-Yi Ho

National Tsing Hua University

View shared research outputs
Researchain Logo
Decentralizing Knowledge