Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kinya Goto is active.

Publication


Featured researches published by Kinya Goto.


Journal of Vacuum Science & Technology B | 2004

Investigation of ash damage to ultralow-k inorganic materials

Kazumasa Yonekura; Shigenori Sakamori; Kinya Goto; Masazumi Matsuura; Nobuo Fujiwara; Masahiro Yoneda

The degradation of porous methyl silsesquioxane (MSQ) films (k=2.2) by plasma irradiation has been investigated using several kinds of gas chemistries, which are used for photoresist strip. The porous MSQ is easily degraded by O2 plasma ash process and the dielectric constant increases largely, even by using the condition that minimizes the damage to nonporous SiOCH films. As the results of several kinds of plasma irradiation, it is clarified that N2 plasma minimizes the degradation of porous MSQ. O2 and H2 plasmas extract methyl (CH3) groups from the porous MSQ films and degrade even the deep part from the film surface. On the other hand, N2 plasma changes the quality of only the film surface and suppresses the degradation of the inside of the film. Furthermore, the small amount of H2 addition to N2 plasma is effective in the increase of the ash rate and the removal of the deposition on the sidewall without the remarkable increase of the dielectric constant. Finally, the optimized N2/H2 ash process minim...


MRS Proceedings | 2006

Film Characterization of Ultra Low-k Dielectrics Modified by UV Curing with Different Wavelength Bands

Masazumi Matsuura; Kinya Goto; Noriko Miura; Shinobu Hashii; K. Asai

This paper describes film characterization of Ultra Low-k (ULK) dielectrics modified by UV curing with different wavelength bands. We have demonstrated UV hardening of ULK-SiOC (k=2.65) with two types of UV bulbs (UV-X and UV-Y) and the UV modifications of ULK-SiOC film properties are characterized by using FT-IR spectroscopy, 29 Si Solid-state NMR spectroscopy and Raman spectroscopy. FT-IR and NMR analyses reveal that UV-Y curing is preferable for UV curing modification of ULK-SiOC. UV-Y curing increases Q mode peak in NMR, resulting in the enhanced Si-O crosslinking, while UV-X curing increases TH mode and TOR mode peaks. Spin lattice relaxation time T1 for 29 Si is decreased with UV curing. This result indicates that UV curing enhances molecular motion in Si-O network. Raman analysis shows that UV curing increases amorphous carbon groups, which corresponds to the enhanced molecular motion in Si-O network.


international interconnect technology conference | 2007

Extremely Low Keff (1.9) Cu Interconnects with Air Gap Formed Using SiOC

Takeshi Harada; Akira Ueki; Kazuo Tomita; K. Hashimoto; Junichi Shibata; H. Okamura; Kazunori Yoshikawa; T. Iseki; M. Higashi; S. Maejima; Kotaro Nomura; Kinya Goto; T. Shono; Seiji Muranaka; Naoki Torazawa; Shuji Hirao; M. Matsumoto; T. Sasaki; Susumu Matsumoto; S. Ogawa; Masahiko Fujisawa; A. Ishii; Masazumi Matsuura; Tetsuya Ueda

Dual damascene Cu interconnects with Keff below 2.0 have been demonstrated for the first time. Air gaps between Cu lines were formed with a low K SiOC film in a carefully designed manner. CoWP cap layers were introduced to protect the Cu lines and to eliminate a dielectric liner layer. In addition, AGE (Air Gap Exclusion) was applied to solve crucial problems related to the air gaps. Keff of 1.9 was obtained at 65 nm design rule, which surpassed by far ITRS target (2.5~2.8) for hp 45. It was also confirmed that leakage current between lines was suppressed by the formation of the air gaps.


international interconnect technology conference | 2005

UV-hardened high-modulus CVD-ULK material for 45-nm node Cu/low-k interconnects with homogeneous dielectric structures

Takeshi Furusawa; Noriko Miura; Masahiro Matsumoto; Kinya Goto; Sinobu Hashii; Yuji Fujiwara; Kazunori Yoshikawa; Kazumasa Yonekura; Yoshinobu Asano; Tsutomu Ichiki; Naoki Kawanabe; Tomoo Matsuzawa; Masazumi Matsuura

A UV-hardened high-modulus ULK (ultra low-k) material is proposed for 45-nm-node Cu/low-k interconnects with homogeneous dielectric structures. An elastic modulus as high as 16 GPa was achieved for the ULK material with k=2.65. By combining this material with an advanced dielectric barrier (k=3.7), interconnect test devices with 65-nm-node dimensions were fabricated. The UV-hardened high-modulus ULK material is shown to be effective in improving electrical performance while maintaining sufficient mechanical integrity.


international interconnect technology conference | 2010

Capturing intrinsic impact of low-k dielectric stacks and packaging materials on mechanical integrity of Cu/low-k interconnects

Takeshi Furusawa; Kinya Goto; Junko Izumitani; Masazumi Matsuura; Masahiko Fujisawa; Naoki Kawanabe; Tetsuya Hirose; Eiji Hayashi; Shinji Baba; Yoshinobu Asano; Tsutomu Ichiki; Yoshifumi Takata

We present a methodology for capturing the intrinsic impact of both low-k dielectric stacks and packaging materials on the mechanical integrity of Cu/low-k interconnects. This drastically reduces the time and cost of sample fabrication and reliability tests and provides short-cycle feedback for both low-k and packaging materials development. Furthermore, this methodology is applicable for all types of packaging, from low-cost QFPs to high-performance Pb-free FCBGAs.


international interconnect technology conference | 2011

The simplest modification of Cu diffusion barrier dielectrics to improve Cu/Low-k interconnects reliability

Kinya Goto; Yoshihiro Oka; Naohito Suzumura; Ryuji Shibata; Takahisa Furuhashi; Masahiro Matsumoto; Takeshi Kawamura; Masazumi Matsuura; Masahiko Fujisawa; K. Asai

In recent years, it has become more serious concern to achieve high reliability(EM, SM and TDDB) in Cu/Low-k interconnects. In this paper, we propose the simplest method to improve EM and SM reliability using the conventional SiCN deposition system without the complicated system and special source gases. And also, we propose a new hermeticity test procedure using TDS(Thermal Desorption Spectroscopy).


international interconnect technology conference | 2010

Direct CMP process with advanced ELK for 45 nm half pitch interconnects

T. Seo; Yoshihiro Oka; Kohei Seo; Kinya Goto; Hiroyuki Chibahara; Hayato Korogi; Shigeru Suzuki; M. Hamada; Naohito Suzumura; Kazuyoshi Tsukamoto; Akira Ueki; Takahisa Furuhashi; D. Kodama; S. Kido; Junko Izumitani; Kazuo Tomita; Etsuyoshi Kobori; Atsushi Ikeda; Y. Kawano; Tetsuya Ueda

We have improved ELK film so that it is suitable for the processes used in fabricating Cu interconnects without using a dielectric protection layer for CMP, the so called “direct CMP process”. The depth profile of the pore size in the film was successfully controlled to prevent water absorption during the CMP process with a limited k-value increase in the film. The line-to-line dielectric breakdown voltage and the time dependent dielectric breakdown lifetime at the 45 nm spacing for the advanced ELK interconnects without the DPL were significantly improved.


international interconnect technology conference | 2009

New multi-step UV curing process for porogen-based porous SiOC

Kohei Seo; Yoshihiro Oka; Kotaro Nomura; Makoto Tsutsue; Etsuyoshi Kobori; Kinya Goto; Yumiko Mizukami; Toshihiro Ohtsuka; Kazuyoshi Tsukamoto; Susumu Matsumoto; Tetsuya Ueda

In order to control the characteristics of porogen-based porous SiOC film (k ≪ 2.5), we investigated its dependence on the wavelengths of ultraviolet (UV) light by using methods of FT-IR, TDS and nano-indentation. As a result, it was found that specific wavelengths of UV light strongly was effective to porous SiOC film production : porogen desorption, mechanical strength improvement, and reduction of the film damage. Vacuum ultraviolet (VUV) irradiation is necessary for porogen desorption. However, after porogen was removed from SiOC film, the energy of VUV irradiation was too high for porous SiOC film and this caused film damage. The energy of deep ultraviolet (DUV) irradiation was sufficient to improve mechanical strength. We propose that UV curing process should be a multi-step process consisting of VUV and DUV irradiation (Figure 1). The first step removes porogen using VUV irradiation. The second step forms robust porous SiOC film using DUV irradiation. A multi-step curing process was used to control the characteristics of porogen-based porous SiOC film.


international interconnect technology conference | 2005

Interface engineering for highly-reliable 65 nm-node Cu/ULK (k=2.6) interconnect integration

A. Ishii; Susumu Matsumoto; T. Hattori; S. Suzuki; S. Isono; A. Iwasaki; Kazuo Tomita; K. Hashimoto; S. Tawa; T. Furusawa; D. Kodama; S. Ogawa; S. Suzumura; M. Tsutsue; Kinya Goto; K. Kobayashi; H. Ohshita; M. Hamada; N. Amoh; H. Okamura; K. Yonekura; T. Hamatani; T. Kobayshi; K. Tsukamoto; M. Matsuura

Interface engineering technologies are developed for highly-reliable 65 nm-node Cu/low-k interconnect integration using a ULK dielectric (k=2.6) in a hybrid ILD structure. For electromigration (EM) reliability, the mechanical integrity at the SiOC/SiC(N,O) interface exposed on the via sidewalls is found to be critical. For TDDB reliability, reduction in Cu-containing defects at the SiC(N,O)/SiOC interface at the top of the metal line is critical. By optimizing these interfaces, the EM and the TDDB lifetimes are significantly improved.


international interconnect technology conference | 2009

Advanced Direct-CMP process for porous low-k thin film

Hayato Korogi; Hiroyuki Chibahara; Shigeru Suzuki; Makoto Tsutsue; Kohei Seo; Yoshihiro Oka; Kinya Goto; Moriaki Akazawa; Hiroshi Miyatake; Susumu Matsumoto; Tetsuya Ueda

In order to reduce the effective dielectric constant (keff) for the 32 nm technology node and beyond, Direct-CMP of a porous low-k film without a protective cap layer is required. However, the degradation of breakdown electric field (Ebd) has been one of critical issues. This study clarified that the Ebd degradation was caused by the pit defects on the surface of porous low-k film during Direct-CMP. In order to suppress the pit defects, we evaluated dependency of micro-pores density of CMP pads. As a result, we demonstrated that CMP pads with low-density micro-pores drastically reduced them and improved the Ebd degradation. In this paper, the mechanism for their reduction is also discussed.

Collaboration


Dive into the Kinya Goto's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge