Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kostas Adam is active.

Publication


Featured researches published by Kostas Adam.


international conference on group iv photonics | 2012

Lithography simulation for the fabrication of silicon photonic devices with deep-ultraviolet lithography

Xu Wang; Wei Shi; Michael Hochberg; Kostas Adam; Ellen Schelew; Jeff F. Young; Nicolas A. F. Jaeger; Lukas Chrostowski

We demonstrate the lithography simulation for the fabrication of silicon photonic devices using deep-ultraviolet lithography. Once the distortions arising from the fabrication process are accounted for, the comparison between predicted and measured results is excellent.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2011

Computational lithography: Exhausting the resolution limits of 193-nm projection lithography systems

David O. Melville; Alan E. Rosenbluth; Andreas Waechter; Marc Millstone; Jaione Tirapu-Azpiroz; Kehan Tian; Kafai Lai; Tadanobu Inoue; Masaharu Sakamoto; Kostas Adam; Alexander Tritchkov

In the recent past, scaling of semiconductor fabrication systems has been dominated by wavelength and numerical aperture modifications. This is now no longer the case for 193-nm immersion projection lithography (193i) systems as there are no technical paths for continued benefit from the in these areas. Instead, a range of techniques including patterning processes and system optimization are being used to push the limits of the system. This paper will review the elements that are now driving scaling for a system of fixed wavelength and numerical aperture.


Proceedings of SPIE | 2010

Demonstrating the benefits of source-mask optimization and enabling technologies through experiment and simulations

David O. Melville; Alan E. Rosenbluth; Kehan Tian; Kafai Lai; Saeed Bagheri; Jaione Tirapu-Azpiroz; Jason Meiring; Scott Halle; Greg McIntyre; Tom Faure; Daniel Corliss; Azalia A. Krasnoperova; Lei Zhuang; Phil Strenski; Andreas Waechter; Laszlo Ladanyi; Francisco Barahona; Daniele Paolo Scarpazza; Jon Lee; Tadanobu Inoue; Masaharu Sakamoto; Hidemasa Muta; Alfred Wagner; Geoffrey W. Burr; Young Kim; Emily Gallagher; Mike Hibbs; Alexander Tritchkov; Yuri Granik; Moutaz Fakhry

In recent years the potential of Source-Mask Optimization (SMO) as an enabling technology for 22nm-and-beyond lithography has been explored and documented in the literature.1-5 It has been shown that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the mask and the source, which leads to improved lithographic performance. These efforts have driven the need for improved controllability in illumination5-7 and have pushed the required optimization performance of mask design.8, 9 This paper will present recent experimental evidence of the performance advantage gained by intensive optimization, and enabling technologies like pixelated illumination. Controllable pixelated illumination opens up new regimes in control of proximity effects,1, 6, 7 and we will show corresponding examples of improved through-pitch performance in 22nm Resolution Enhancement Technique (RET). Simulation results will back-up the experimental results and detail the ability of SMO to drive exposure-count reduction, as well as a reduction in process variation due to critical factors such as Line Edge Roughness (LER), Mask Error Enhancement Factor (MEEF), and the Electromagnetic Field (EMF) effect. The benefits of running intensive optimization with both source and mask variables jointly has been previously discussed.1-3 This paper will build on these results by demonstrating large-scale jointly-optimized source/mask solutions and their impact on design-rule enumerated designs.


Photomask Technology 2011 | 2011

Total source mask optimization: high-capacity, resist modeling, and production-ready mask solution

Moutaz Fakhry; Yuri Granik; Kostas Adam; Kafai Lai

As the demand for taking Source Mask Optimization (SMO) technology to the full-chip level is increasing, the development of a flow that overcomes the limitations which hinder this technologys moving forward to the production level is a priority for Litho-Engineers. The aim of this work is to discuss advantages of using a comprehensive novel SMO flow that outperforms conventional techniques in areas of high capacity simulations, resist modeling and the production of a final manufacturable mask. We show results that indicate the importance of adding large number of patterns to the SMO exploration space, as well as taking into account resist effects during the optimization process and how this flow incorporates the final mask as a production solution. The high capacity of this flow increases the number of patterns and their area by a factor of 10 compared to other SMO techniques. The average process variability band is improved up to 30% compared to the traditional lithography flows.


Journal of Vacuum Science & Technology B | 2005

Electromagnetic phenomena in advanced photomasks

F. M. Schellenberg; Kostas Adam; Joseph A. Matteo; Lambertus Hesselink

The adoption of resolution enhancement techniques (RET) for subwavelength lithography relies on performing accurate simulation of mask effects. Although topography effects have been successfully used in RET flows, the impact of electromagnetic effects such as surface plasmons tend to be ignored. It is known, however, from the performance of “C-shaped” apertures that extraordinary transmission and opacity can be achieved using these electromagnetic effects. We have examined simulations for representative 45nm features using both conventional and novel finite difference time domain simulators. When the mask material is assumed to be conducting chromium, we found that electromagnetic effects appear to be significant and highly dependent on polarization. This may place additional constraints on the specification of mask material composition for these integrated circuit generations.


Proceedings of SPIE | 2013

Accurate 3DEMF mask model for full-chip simulation

Michael C. Lam; Kostas Adam; David Fryer; Christian Zuniga; Haiqing Wei; Mike Oliver; Chris Clifford

The Domain Decomposition Method (DDM) for approximating the impact of 3DEMF effects was introduced nearly ten years ago as an approach to deliver good accuracy for rapid simulation of full-chip applications. This approximation, which treats mask edges as independent from one another, provided improved model accuracy over the traditional Kirchhoff thin mask model for the case of alternating aperture phase shift masks which featured severe mask topography. This aggressive PSM technology was not widely deployed in manufacturing, and with the advent of thinner absorbing layers, the impact of mask topography has been relatively well contained through the 32 nm technology node, where Kirchhoff mask models have proved effective. At 20 nm and below, however, the thin mask approximation leads to larger errors, and the DDM model is seen to be effective in providing a more accurate representation of the aerial image. The original DDM model assumes normal incidence, and a subsequent version incorporates signals from oblique angles. As mask dimensions become smaller, the assumption of non-interacting mask edges breaks down, and a further refinement of the model is required to account for edge to edge cross talk. In this study, we evaluate the progression of improvements in modeling mask 3DEMF effects by comparing to rigorous simulation results. It is shown that edge to edge interactions can be accurately accounted for in the modified DDM library. A methodology is presented for the generation of an accurate 3DEMF model library which can be used in full chip OPC correction.


Proceedings of SPIE | 2011

Applicability of global source mask optimization to 22/20nm node and beyond

Kehan Tian; Moutaz Fakhry; Aasutosh Dave; Alexander Tritchkov; Jaione Tirapu-Azpiroz; Alan E. Rosenbluth; David O. Melville; Masaharu Sakamoto; Tadanobu Inoue; Scott M. Mansfield; Alexander Wei; Young Kim; Bruce Durgan; Kostas Adam; Gabriel Berger; Gandharv Bhatara; Jason Meiring; Henning Haffner; Byung Sung Kim

Source-mask optimization (SMO) in optical lithography has in recent years been the subject of increased exploration as an enabler of 22/20nm and beyond technology nodes [1-6]. It has been shown that intensive optimization of the fundamental degrees of freedom in the optical system allows for the creation of non-intuitive solutions in both the source and mask, which yields improved lithographic performance. This paper will demonstrate the value of SMO software in resolution enhancement techniques (RETs). Major benefits of SMO include improved through-pitch performance, the possibility of avoiding double exposure, and superior performance on two dimensional (2D) features. The benefits from only optimized source, only optimized mask, and both source and mask optimized together will be demonstrated. Furthermore, we leverage the benefits from intensively optimized masks to solve large array problems in memory use models (MUMs). Mask synthesis and data prep flows were developed to incorporate the usage of SMO, including both RETs and MUMs, in several critical layers during 22/20nm technology node development. Experimental assessment will be presented to demonstrate the benefits achieved by using SMO during 22/20nm node development.


Photomask Technology 2011 | 2011

OPC modeling and correction solutions for EUV lithography

James Word; Christian Zuniga; Michael Lam; Mohamed Habib; Kostas Adam; Mike Oliver

The introduction of EUV lithography into the semiconductor fabrication process will enable a continuation of Moores law below the 22nm technology node. EUV lithography will, however, introduce new sources of patterning distortions which must be accurately modeled and corrected with software. Flare caused by scattered light in the projection optics result in pattern density-dependent imaging errors. The combination of non-telecentric reflective optics with reflective reticles results in mask shadowing effects. Reticle absorber materials are likely to have non-zero reflectivity due to a need to balance absorber stack height with minimization of mask shadowing effects. Depending upon placement of adjacent fields on the wafer, reflectivity along their border can result in inter-field imaging effects near the edge of neighboring exposure fields. Finally, there exists the ever-present optical proximity effects caused by diffractionlimited imaging and resist and etch process effects. To enable EUV lithography in production, it is expected that OPC will be called-upon to compensate for most of these effects. With the anticipated small imaging error budgets at sub-22nm nodes it is highly likely that only full model-based OPC solutions will have the required accuracy. The authors will explore the current capabilities of model-based OPC software to model and correct for each of the EUV imaging effects. Modeling, simulation, and correction methodologies will be defined, and experimental results of a full model-based OPC flow for EUV lithography will be presented.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Analytical approximations of the source intensity distributions

Yuri Granik; Kostas Adam

Diffractive optical elements and hard-stop blades are widely used in scanners to form off-axis illumination. They generate tapered illumination profiles, which have to be accurately represented in lithographical simulations. Typically these profiles are captured in measured source maps. The source maps are inconvenient for OPC applications, because the map files are bulky and often represent asymmetrical sources. We propose analytical formulas to approximate smooth intensity distributions across the illumination aperture for standard, annular, dipole and quadruple sources. The analytical representation is an efficient compression of the source map information, does not require large files, and conveniently regularizes source intensities. We demonstrate examples of fitting measured source maps with these formulas and analyze the induced simulation errors.


Proceedings of SPIE | 2017

Enabling full field physics based OPC via dynamic model generation

Michael Lam; Chris Clifford; Ananthan Raghunathan; Germain Fenger; Kostas Adam

As EUV lithography marches closer to reality for high volume production, its peculiar modeling challenges related to both inter- and intra- field effects has necessitated building OPC infrastructure that operates with field position dependency. Previous state of the art approaches to modeling field dependency used piecewise constant models where static input models are assigned to specific x/y-positions within the field. OPC and simulation could assign the proper static model based on simulation-level placement. However, in the realm of 7nm and 5nm feature sizes, small discontinuities in OPC from piecewise constant model changes can cause unacceptable levels of EPE errors. The introduction of Dynamic Model Generation (DMG) can be shown to effectively avoid these dislocations by providing unique mask and optical models per simulation region, allowing a near continuum of models through field. DMG allows unique models for EMF, apodization, aberrations, etc to vary through the entire field and provides a capability to precisely and accurately model systematic field signatures.

Collaboration


Dive into the Kostas Adam's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge