Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kouji Yoshida is active.

Publication


Featured researches published by Kouji Yoshida.


Journal of Micro-nanolithography Mems and Moems | 2016

Development of nanoimprint lithography templates toward high-volume manufacturing

Koji Ichimura; Kouji Yoshida; Saburo Harada; Takaharu Nagai; Masaaki Kurihara; Naoya Hayashi

Abstract. Development of nanoimprint lithography (NIL) templates is discussed. The template fabrication process and its performance are presented with consideration of the requirements of NIL for high-volume manufacturing. Defectivity, image placement, and critical dimension uniformity are the three major performance parameters of the templates, and their current status is shown.


Japanese Journal of Applied Physics | 2010

Characterization of Antisticking Layers for UV Nanoimprint Lithography Molds with Scanning Probe Microscopy

Masaaki Kurihara; Sho Hatakeyama; Noriko Yamada; Takeya Shimomura; Takaharu Nagai; Kouji Yoshida; Tatsuya Tomita; Morihisa Hoga; Naoya Hayashi; Hiroyuki Ohtani; Masamichi Fujihira

Antisticking layers (ASLs) on UV nanoimprint lithography (UV-NIL) molds were characterized by scanning probe microscopies (SPMs) in addition to macroscopic analyses of work of adhesion and separation force. Local physical properties of the ASLs were measured by atomic force microscopy (AFM) and friction force microscopy (FFM). The behavior of local adhesive forces measured with AFM on several surfaces was consistent with that of work of adhesion obtained from contact angle. The ASLs were coated by two different processes, i.e., one is a vapor-phase process and the other a spin-coating process. The homogeneity of the ASLs prepared by the vapor-phase process was better than that of those prepared by the spin-coating process. In addition, we measured the thicknesses of ASL patterns prepared by a lift-off method to investigate the effect of the ASL thicknesses on critical dimensions of the molds with ASLs and found that this effect is not negligible.


Proceedings of SPIE | 2015

HVM readiness of nanoimprint lithography templates: defects, CD, and overlay

Koji Ichimura; Kouji Yoshida; Saburo Harada; Takaharu Nagai; Masaaki Kurihara; Naoya Hayashi

Performances of the nanoimprint lithography templates were discussed considering the readiness toward the high volume manufacturing of nanoimprint lithography application along with the requirement for the templates and its fabrication process. The current status of the three major performances of the templates was shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Methodology of adhesive energy for photomask fabrication using scanning probe microscopy

S. Shimada; Takeya Shimomura; Kouji Yoshida; Masaaki Kurihara; Hiroshi Mohri; Naoya Hayashi

A scanning probe microscopy is applied to measure high adhesive energy between Cr or MoSi patterns and quartz substrates by using probes with high stiffness cantilevers. Line patterns with the widths of ~100 nm are peeled from the interface by strain energy stored in the probe, and no residue was observed after peeling. The strain amount has good linear relationship with sensor outputs, and is quantified as a displacement of cantilevers. As a measurement result, adhesive energy of MoSi patterns on the substrate is larger than that of Cr patterns. In addition, adhesive energy of line patterns is sensitive to the pattern width which is parallel side to scan direction, and decreases with pattern width reduction. The method is effective to measure strong adhesion, like chemical bonds, of micro patterns, and will contribute process development for micro fabrication in photomask and wafer fields.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Adhesion control between resist patterns and photomask blank surfaces

Masaaki Kurihara; Sho Hatakeyama; Kouji Yoshida; Takaharu Nagai; Daisuke Totsukawa; Masaharu Fukuda; Yasutaka Morikawa; Hiroshi Mohri; Morihisa Hoga; Naoya Hayashi; Hiroyuki Ohtani; Masamichi Fujihira

Most problems in photomask fabrication such as pattern collapse, haze, and cleaning damage are related to the behavior of surfaces and interfaces of resists, opaque layers, and quartz substrates. Therefore, it is important to control the corresponding surface and interface energies in photomask fabrication processes. In particular, adhesion analysis in microscopic regions is strongly desirable to optimize material and process designs in photomask fabrication. We applied the direct peeling (DP) method with a scanning probe microscope (SPM) tip and measured the adhesion of resist patterns on Cr and quartz surfaces for photomask process optimization. We measured adhesion and frictional forces between the resulting collapsed resist pillar and the Cr or the quartz surface before and after the sliding. We also studied the effect of surface property of the Cr and quartz surfaces to resist adhesion. The adhesion could be controlled by surface modification using silanes and surface roughness on Cr blanks. We also discuss the relationship between the adhesion observed with the DP method and the properties of the modified surfaces including water contact angles and local adhesive forces measured from force-distance curves with an SPM.


Japanese Journal of Applied Physics | 2009

Adhesion Control between Resist and Photomask Blank

Masaaki Kurihara; Sho Hatakeyama; Kouji Yoshida; Makoto Abe; Daisuke Totsukawa; Yasutaka Morikawa; Hiroshi Mohri; Morihisa Hoga; Naoya Hayashi; Hiroyuki Ohtani; Masamichi Fujihira

Most problems in photomask fabrication such as pattern collapse, haze, and cleaning damage are related to the behavior of surfaces and interfaces of resists, opaque layers, and quartz substrates. Therefore, it is important to control the corresponding surface and interface energies in photomask fabrication processes. In particular, adhesion analysis in microscopic regions is strongly desirable to optimize material and process designs in photomask fabrication. We applied the direct peeling (DP) method with a scanning probe microscope (SPM) tip and measured the adhesion of resist patterns on Cr and quartz surfaces for photomask process optimization. We also studied the effect of tip shape on the reproducibility of adhesion measurements and the dependence of collapse behavior on the resist profile. We measured lateral forces between the resulting collapsed resist pillar and the Cr or the quartz surface before and after the sliding and related these observed lateral forces to the static and kinetic frictional forces, respectively. We also studied the effect of surface modification of the Cr and quartz surfaces with silanization reagents on adhesion measured with the DP method. Resist adhesion could be controlled by surface modification using silanes. We also discuss the relationship between the adhesion observed with the DP method and the properties of the modified surfaces including water contact angles and local adhesive forces measured from force–distance curves with an SPM.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Optimization of measuring conditions for templates of UV nano imprint lithography

Kouji Yoshida; Kouichirou Kojima; Makoto Abe; Shiho Sasaki; Masaaki Kurihara; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi

Templates for UV-Nano-imprint lithography (NIL) have been rapidly improved these days. Feature sizes of the templates have come to be less than 30 nm. Consequently, metrology has been also one of the challenges to fabricate templates for UV-NIL. There are many issues in metrology for the templates; for instance, necessity of the higher resolution, critical dimension (CD) accuracy and repeatability for measurement tools. In this paper, we will focus on an optimization of measuring conditions for the templates of UV-NIL. And we will discuss some measuring techniques for CD precision and repeatability using a CD-SEM and a scanning probe microscope.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Surface energy control techniques for photomask fabrication and their characterizations with scanning probe microscopy

Masaaki Kurihara; Sho Hatakeyama; Kouji Yoshida; Makoto Abe; Daisuke Totsukawa; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi

Most of photomask issues such as pattern collapse, HAZE, and cleaning damage relate to behavior of mask surfaces. Therefore it is coming to be important to control surface energy in photomask processes. Especially adhesion analysis in micro region is strongly desired to optimize material and process designs in photomask fabrication. Quantitative measurements of adhesive forces of resists on photomask blanks were realized with scanning probe microscopy (SPM) techniques. Then surface energy on photomask blanks was able to be controlled by modification with some silanization reagents. In addition, adhesive forces of resists on surfaces modified with some silanes were able to be also controlled. The SPM method is proved to be effective for measuring adhesive energy of micro patterns on photomask blanks.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Metrology for templates of UV nano imprint lithography

Kouji Yoshida; Kouichirou Kojima; Makoto Abe; Shiho Sasaki; Masaaki Kurihara; Hiroshi Mohri; Naoya Hayashi

Templates for UV-Nano-imprint lithography (NIL) have developed aggressively. Feature sizes of the templates have come to less than 30 nm. Therefore metrology is also one of challenging items to fabricate templates for UV-NIL. However, there are many issues in metrology for the templates, for instance, necessity of the further resolution for measurement tools, charging issues without conductive layers for a SEM. In this paper, we will focus on metrology of the templates for UV-NIL. And also some measurement techniques are described about detail results using scanning probe microscope, CD-SEM, scattermetry and so on.


Microelectronic Engineering | 2007

3D structural templates for UV-NIL fabricated with gray-scale lithography

Masaaki Kurihara; Makoto Abe; Katsutoshi Suzuki; Kouji Yoshida; Takeya Shimomura; Morihisa Hoga; Hiroshi Mohri; Naoya Hayashi

Collaboration


Dive into the Kouji Yoshida's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hiroyuki Ohtani

Tokyo Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge