Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takaharu Nagai is active.

Publication


Featured researches published by Takaharu Nagai.


Proceedings of SPIE | 2008

Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability

Yuichi Inazuki; Nobuhito Toyama; Takaharu Nagai; Takanori Sutou; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi; Martin Drapeau; Kevin Lucas; Chris Cork

Double patterning technology (DPT) is one of the most practical candidate technologies for 45nm half-pitch or beyond while conventional single exposure (SE) is still dominant with hyper NA avoiding DPT difficulties such as split-conflict or overlay issue. However small target dimension with hyper NA and strong illumination causes OPC difficulty and small latitude of lithography and photomask fabricated with much tight specification are required for SE. Then there must be double patterning (DP) approach even for SE available resolution. In this paper DP for SE available resolution is evaluated on lithography performance, pattern decomposition, photomask fabrication and inspection load. DP includes pattern pitch doubled of SE, then lithography condition such as mask error enhancement factor (MEEF) is less impacted and the lower MEEF means less tight specification for photomask fabrication. By using Synopsys DPT software, there are no software-induced conflicts and stitching is treated to be less impact. And also this software detects split-conflicts such as triangle or square placement from contact spacing. For estimating photomask inspection load, programmed defect pattern and circuit pattern on binary mask are prepared. Smaller MEEF leads less impact to defect printing which is confirmed with AIMS evaluation. As an inspection result, there are few differences of defect sensitivity for only dense features and also few differences of false defect counts between SE and DP with less NA. But if higher NA used, DPs inspection sensitivity is able to be lowered Then inspection load for DP would be lighter than SE.


Journal of Micro-nanolithography Mems and Moems | 2016

Development of nanoimprint lithography templates toward high-volume manufacturing

Koji Ichimura; Kouji Yoshida; Saburo Harada; Takaharu Nagai; Masaaki Kurihara; Naoya Hayashi

Abstract. Development of nanoimprint lithography (NIL) templates is discussed. The template fabrication process and its performance are presented with consideration of the requirements of NIL for high-volume manufacturing. Defectivity, image placement, and critical dimension uniformity are the three major performance parameters of the templates, and their current status is shown.


Japanese Journal of Applied Physics | 2010

Characterization of Antisticking Layers for UV Nanoimprint Lithography Molds with Scanning Probe Microscopy

Masaaki Kurihara; Sho Hatakeyama; Noriko Yamada; Takeya Shimomura; Takaharu Nagai; Kouji Yoshida; Tatsuya Tomita; Morihisa Hoga; Naoya Hayashi; Hiroyuki Ohtani; Masamichi Fujihira

Antisticking layers (ASLs) on UV nanoimprint lithography (UV-NIL) molds were characterized by scanning probe microscopies (SPMs) in addition to macroscopic analyses of work of adhesion and separation force. Local physical properties of the ASLs were measured by atomic force microscopy (AFM) and friction force microscopy (FFM). The behavior of local adhesive forces measured with AFM on several surfaces was consistent with that of work of adhesion obtained from contact angle. The ASLs were coated by two different processes, i.e., one is a vapor-phase process and the other a spin-coating process. The homogeneity of the ASLs prepared by the vapor-phase process was better than that of those prepared by the spin-coating process. In addition, we measured the thicknesses of ASL patterns prepared by a lift-off method to investigate the effect of the ASL thicknesses on critical dimensions of the molds with ASLs and found that this effect is not negligible.


Proceedings of SPIE | 2015

HVM readiness of nanoimprint lithography templates: defects, CD, and overlay

Koji Ichimura; Kouji Yoshida; Saburo Harada; Takaharu Nagai; Masaaki Kurihara; Naoya Hayashi

Performances of the nanoimprint lithography templates were discussed considering the readiness toward the high volume manufacturing of nanoimprint lithography application along with the requirement for the templates and its fabrication process. The current status of the three major performances of the templates was shown.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Estimating DPL photomask fabrication load compared with single exposure

Nobuhito Toyama; Yuichi Inazuki; Takanori Sutou; Takaharu Nagai; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi; Judy Huckabay; Yoshikuni Abe

DPL (Double Patterning Lithography) has been identified as one of major candidates for 45nm and 32nm HP since ITRS2006update and several reports of the performance or challenges of DPL have been published. DPL requires at least two photomasks with tighter specification of image placement and the difference of mean to target according to ITRS2006update. On the other hand, approximately half of whole features of single layer are written on each photomask and the densest features are split into other photomask in consequence of pitch relaxation for DPL. Then the photomask writing data of two sets for DPL and single data for single exposure are evaluated for photomask fabrication load. The design will be automatically decomposed with EDA tool and OPC will be tuned as DPL or single exposure. Not only number of fractured features but also feasibility study of automatic decomposition will be presented and discussed. The consequences of relaxed pitch on process, inspection, repair, yield, MEEF and cycle time will be discussed with results as available.


Photomask Technology 2011 | 2011

Effects of Cleaning on NIL Templates: Surface Roughness, CD and Pattern Integrity

Sherjang Singh; Kenji Onuki; Naoshi Kawamata; Takaharu Nagai; Masaaki Kurihara; Tatsuya Tomita; Naoya Hayashi; Peter Dress; Uwe Dietze

Nano-Imprint Lithography (NIL) is considered a promising alternative to optical lithography for technology nodes at 22nm hp and beyond. Compared to other advanced and complex lithography methods, NIL processing is simple and inexpensive making it a widely accepted technology for pattern media and a potential cost effective alternative for CMOS applications. During the NIL process, the template comes into direct contact with the resist on the substrate and consequently template cleanliness plays a decisive role in imprinted substrate quality. Furthermore, if the template has any form of a defect such as resist residue, stains, particles, surface scratches, chipping and bumping etc. it can lead to poor quality imprints, low yield and throughput decreases. The latest ITRS roadmap has stringent CD, CD uniformity, surface roughness and defect control requirements for NIL templates. Any template cleaning process that is adopted must be able to remove defects while maintaining the critical parameters outlined by the ITRS. Aggressive chemistries (such as NH4OH or SC1 (NH4OH+H2O2+DI) and strong physical force treatments (such as MegaSonic & Binary Sprays) may cause damage to the template if not optimized. This paper presents the cleaning chemical effects on template surface roughness and CD at varying concentrations. The effect of physical force cleaning on fragile and sensitive pattern features is also presented. Particle & imprint resist removal efficacy at different process conditions is compared.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Adhesion control between resist patterns and photomask blank surfaces

Masaaki Kurihara; Sho Hatakeyama; Kouji Yoshida; Takaharu Nagai; Daisuke Totsukawa; Masaharu Fukuda; Yasutaka Morikawa; Hiroshi Mohri; Morihisa Hoga; Naoya Hayashi; Hiroyuki Ohtani; Masamichi Fujihira

Most problems in photomask fabrication such as pattern collapse, haze, and cleaning damage are related to the behavior of surfaces and interfaces of resists, opaque layers, and quartz substrates. Therefore, it is important to control the corresponding surface and interface energies in photomask fabrication processes. In particular, adhesion analysis in microscopic regions is strongly desirable to optimize material and process designs in photomask fabrication. We applied the direct peeling (DP) method with a scanning probe microscope (SPM) tip and measured the adhesion of resist patterns on Cr and quartz surfaces for photomask process optimization. We measured adhesion and frictional forces between the resulting collapsed resist pillar and the Cr or the quartz surface before and after the sliding. We also studied the effect of surface property of the Cr and quartz surfaces to resist adhesion. The adhesion could be controlled by surface modification using silanes and surface roughness on Cr blanks. We also discuss the relationship between the adhesion observed with the DP method and the properties of the modified surfaces including water contact angles and local adhesive forces measured from force-distance curves with an SPM.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Mask CD compensation method using diffraction intensity for lithography equivalent metrology

Takaharu Nagai; Takanori Sutou; Yuichi Inazuki; Hiroyuki Hashimoto; Nobuhito Toyama; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi

In 45nm node and beyond, since mask topography effect is not ignorable, 3D simulation is required for precise printing performance evaluation and mask CD bias optimization. Therefore, the difference between real mask and 3D mask model on simulation needs to be clarified. Verification of 3D mask model by diffraction intensity measurement with AIMSTM45-193i was discussed in our previous works. In various conditions (mask materials, pattern dimensions and CD-SEMs), the diffraction intensity measured on actual masks were agreed to 3D simulations by introducing constant CD offset. The cause of the CD difference was explained to be mainly due to electron beam size by using simple SEM image simulation. In this work, we introduce the new procedure to measure diffraction intensity by AIMSTM in order to confirm the CD difference between 3D mask model and CD-SEM more accurately because the agreement of diffraction intensity between AIMSTM and simulation was not perfect especially for 1st orders diffraction. As a result, the value of CD difference was slightly changed on the same mask by using the same CD-SEM. Measured diffraction intensity showed better matching to 3D simulation results with the constant CD offset on all evaluated conditions. Secondary, to confirm how accurately printing performance could be predicted by CD-SEM measurement results, MEEF difference calculated from diffraction intensity between 3D simulation and CD-SEM with the offset was confirmed. Additionally, this method was extended to hole patterns. Measured diffraction intensity was matched to simulation result with the same CD offset with line/space patterns and appropriate corner rounding.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Extendibility of single mask exposure for practical ArF immersion lithography

Takashi Adachi; Yuichi Inazuki; Takanori Sutou; Takaharu Nagai; Nobuhito Toyama; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi

The ArF water immersion is one of the most promising candidate technologies for 45-nm node lithography. But it have been predicted that the realization of 32-nm node (minimum half pitch 45nm) is very difficult when using the water immersion of 1.35 NA and single mask exposure. Therefore, some double-exposure technologies are expected for 32-nm node logic device. However, the single mask exposure would be expected because it has very big advantage of short process time and/or cost etc., compared to other double-exposure methods. In this research, we evaluated two NA setting of ArF immersion as the models and the required structure and error budget of photomasks. One is the maximum NA of water immersion (= 1.35) and another is using high refractive index materials with NA of 1.55. The lithographic performance was evaluated for line and space pattern through various pattern pitches with optical proximity correction (OPC). The evaluation items of printing performance are CD-DOF, contrast-DOF and MEEF, etc. The suitable kind of mask and structure are also considered with effect of several kinds of mask topography error. The limit of single mask exposure will be examined by setting the restriction such as minimum half pitch and so on.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

DPL performance analysis strategy with conventional workflow

Nobuhito Toyama; Yuichi Inazuki; Takanori Sutou; Takaharu Nagai; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi; Junji Miyazaki; Alek C. Chen; Nandasiri Samarakone

DPL (Double Patterning Lithography) has been in public as one of candidates for 45nm or 32nm HP since ITRS2006update disclosed. A lot of report of the performances and issues regarding to DPL were published. The current main concerns are evaluation of the infrastructures such as decomposition software, advanced photomasks, higher-NA exposure tool and leading-edge hard-mask process. If there is simpler procedure to evaluate DPL using a conventional environment without hard-mask process, the development of DPL will be accelerated. Here, the simple evaluation procedure for DPL using actual photomasks combining double exposure technique was proposed. The pseudo DPL result in terms of mask CD uniformity, image placement and overlay were demonstrated. In this evaluation procedure, decomposition restriction, mask latitude and fabrication load were also discussed

Collaboration


Dive into the Takaharu Nagai's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Masaaki Kurihara

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge