Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kwang-sub Yoon is active.

Publication


Featured researches published by Kwang-sub Yoon.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Fluorocarbon-based single-layer resist for 157-nm lithography

Ki-Yong Song; Kwang-sub Yoon; Sang-Jun Choi; Sang-Gyun Woo; Woo-Sung Han; Jae-Jun Lee; Sang Kyun Lee; Chang-Ho Noh; Kenji Honda

We have designed and synthesized a number of unique polymer systems composed of acrylate and styrene even though it had moderate transparency. Our first model of 157nm photoresist was based on a (alpha) trifluoromethylacrylate and styrene bearing a pendent hexafluoroisopropanol with pentafluoroisopropyl t-butyl carbonate (PFITBC) as the transparent enhancer and acid labile compound. PFITBC was obtained from perfluorinated enolate with di-t-butyl carbonate with high yield. All of the absorbance of our system ranged over 3.0~3.4micrometers -1 for base resin, which corresponded to a resist thickness of 110~125 nm at the optical density of 0.4. We have formulated several resists based on these polymers and these formulations have shown high resolution and contrast at 248 nm. We were able to obtain 240nm 1:1 image when exposed at 248 nm by a Nikon stepper with 0.45NA. To overcome the weak etch resistance with thin thickness film, we investigated the vapor phase silylation treatment (SILYAL) in which the treated pattern was more persistent against the O2 plasma and turned to smoother surface. DMSMDA with Bi(DMA)MS of 30-40 wt% showed not only good control resist flow but also the improvement of line-edge roughness. Our results suggested that a facile approach to fluorine incorporated resin with SILYAL process can accelerate the 157nm lithography.


Proceedings of SPIE | 2015

Scanner focus metrology for advanced node scanner monitoring and control

Jimyung Kim; Young-Sik Park; Taehwa Jeong; Suhyun Kim; Kwang-sub Yoon; Byoung-il Choi; Vladimir Levinski; Daniel Kandel; Yoel Feler; Nadav Gutman; Eltsafon Island-Ashwal; Moshe Cooper; Dongsub Choi; Eitan Herzel; Tien David; JungWook Kim

Scanner Focus window of the lithographic process becomes much smaller due to the shrink of the device node and multipatterning approach. Consequently, the required performance of scanner focus becomes tighter and more complicated. Focus control/monitoring methods such as “field-by-field focus control” or “intra-field focus control” is a necessity. Moreover, tight scanner focus performance requirement starts to raise another fundamental question: accuracy of the reported scanner focus. The insufficient accuracy of the reported scanner focus using the existing methods originates from: a) Focus measurement quality, which is due to low sensitivity of measured targets, especially around the nominal production focus. b) The scanner focus is estimated using special targets, e.g. large pitch target and not using the device-like structures (irremovable aberration impact). Both of these factors are eliminated using KLA-Tencor proprietary “Focus Offset” technology.


Proceedings of SPIE | 2013

Negative tone imaging (NTI) with KrF: extension of 248nm IIP lithography to under sub-20nm logic device

Tae-Hwan Oh; Tae-Sun Kim; Yura Kim; Jahee Kim; Sujeong Heo; Bumjoon Youn; Jae-Kyung Seo; Kwang-sub Yoon; Byoung-il Choi

One of the most prospective alternative lithography ways prior to EUV implementation is the reverse imaging by means of a negative tone development (NTD) process with solvent-based developer. Contact and trench patterns can be printed in CAR (Chemically amplified resist) using a bright field mask through NTD development, and can give much better image contrast (NILS) than PTD process. Not only for contact or trench masks, but also pattering of IIP (Ion Implantation) layers whose mask opening ratio is less than 20% may get the benefit of NTD process, not only in the point of aerial imaging, but also in achievement of vertical resist profile, especially for post gate layers which have complex sub_topologies and nitride substrate. In this paper, we present applications for the NTD technique to IIP (Ion Implantation) layer lithography patterning, via KrF exposure, comparing the performance to that of the PTD process. Especially, to extend 248nm IIP litho to sub-20nm logic device, optimization of negative tone imaging (NTI) with KrF exposure is the main focus in this paper. With the special resin system designed for KrF NTD process, even sub 100nm half-pitch trench pattern can be defined with enough process margin and vertical resist profiles can be also obtained on the nitride substrate with KrF exposure.


Advances in Resist Technology and Processing XVII | 2000

Novel polymers for 193-nm single-layer resist based on cycloolefin polymers

Hyun-woo Kim; Si-Hyeung Lee; Ki-Young Kwon; Dong-Won Jung; Sook Lee; Kwang-sub Yoon; Sang-Jun Choi; Sang-Gyun Woo; Joo-Tae Moon

A series of new cycloaliphatic olefin monomers protected by alicyclic hydrocarbon groups were synthesized. New polymers of cycloaliphatic olefins and cycloolefin-maleic anhydride (COMA) systems were also designed and prepared using the new monomers for 193 nm resist applications. These polymers were synthesized by free radical polymerization technique, utilizing azobisisobutyronitrile (AIBN) or di-t-butyl peroxide initiators. The cycloolefin polymers synthesized by free radical polymerization method were not good for ArF lithography because of their poor transparency at 193 nm, although they showed a good dry etch resistance. However, the new COMA polymers had good transparency at 193 nm and had an etch rate in CF4 mixture plasma of approximate 1.0 times that of DUV resists. Using ArF exposure tools (NA equals 0.6, (sigma) equals 0.7), 130 nm line/space patterns were resolved. Using Off-Axis illumination, 100 nm line/space patterns were resolved.


Proceedings of SPIE | 2009

Advanced Immersion Contact Hole Patterning for sub 40nm Memory Applications - A Fundamental Resist Study

Yun-Kyeong Jang; Jin-Young Yoon; Shi-yong Lee; Kwang-sub Yoon; Seok-Hwan Oh; Seong-Woon Choi; Woo-Sung Han; Seokho Kang; Thomas Penniman; Duk-Soo Kim; Dong Won Chung; Sung-Seo Cho; Cheng Bai Xu; George G. Barclay

In this paper we investigate fundamental resist properties to enhance resolution and focus margin for immersion contact hole patterning. Basic chemistry factors have been used to manipulate the iso-focal region (the region of smallest critical dimension variation through focus) of the photoresist and study the impact on resolution and focus margin for small isolated contact holes. Acid diffusion length is one of the key factors investigated, which can be controlled by polymer, PAG, quencher, bake temperature and bake time. The various criteria investigated for this study were: focus and exposure latitude for dense L/S, dense C/H and semi-dense C/H. The effect of manipulating the acid diffusion of the photoresist on imaging small contact holes was verified using ultra-high NA immersion imaging at 1.35 NA.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Practical requirement for reflectivity control in sub 30nm device using high NA immersion lithography

Yun-Kyeong Jang; So-Ra Han; Hyoung-hee Kim; Jin-Young Yoon; Shi-yong Lee; Kwang-sub Yoon; Seok-Hwan Oh; Seong-Woon Choi; Woo-Sung Han

Reflectivity comparison study of bottom anti reflectivity coating (BARC) was investigated at 30nm node devices with same gate width at different pitch sizes. The goal of this study is to elucidate the practical target of reflectivity for high NA immersion lithography especially focusing on the changes in the CD variation. Using double patterning technology (DPT) and single patterning technology (SPT) patterns in high NA systems, we studied the impact of reflectivity to the lithography performance for various ARC thicknesses. A strong dependence of n, k values (of BARC and substrate) on reflectivity was confirmed by simulation. Standing wave effects were investigated by vertical profiles inspection and changes in lithographic performances. Finally, we investigated the critical dimension uniformity (CDU), and line width roughness (LWR) variations for various reflectivities using hard mask substrates. Our experimental and simulation results clearly show that a 0.1% reflectivity target is highly recommendable for the sub-30 nm device process using high NA immersion lithography.


Optical Microlithography XVI | 2003

ArF issues of 90-nm-node DRAM device integration

Doo-Hoon Goo; Byeong-soo Kim; Joon-soo Park; Kwang-sub Yoon; Jung-Hyeon Lee; Han-Ku Cho; Woo-Sung Han; Joo-Tae Moon

Recently, the design rule shrinkage of DRAM devices has been accelerated. According to International Technology Roadmap for Semiconductor (ITRS) 2001, 90 nm node will start in 2004. For this achievement, lithography has been standing especially in the forefront and leading the ultra fine patterning technologies in the manufacturing of semiconductor devices. We are now in the moment of transition from the stronghold of KrF to the prospective of ArF. In this paper, we applied ArF process to the real DRAM devices of 90nm node. We proved good pattern fidelity and device performance. The ArF process, however, has still some weak points - resist shrinkage and LER (Line Edge Roughness). Resist shrinkage is very crucial problem for measuring CD. To overcome it, we applied ASC (Anti-Shrinkage Coating) process to ArF resist and improved the CD measurement. LER also becomes an issue, as the design rule is shrink. It is found that they are very dependent on resist type. However, it could be cured effectively by VUV treatment. Finally we will mention the current status of low k1 factor and the future lithographic strategy of which technologies will be most feasible based on current situation.


26th Annual International Symposium on Microlithography | 2001

Novel 193-nm photoresist based on Olefin-containing lactones

Kwang-sub Yoon; Dong-Won Jung; Sook Lee; Sung-Ho Lee; Sang-Jun Choi; Sang-Gyun Woo; Joo-Tae Moon

In this work we have studied new types of olefin-containing alicyclic lactones such as (alpha) -angelicalactone(AGL), (gamma) -methylene- (gamma) -butyrolactone((gamma) -MBL), (alpha) -methylene- (gamma) -butyrolactone((alpha) -MBL) and their derivatives. Particular attention was given to (alpha) -BML derivatives, which are readily synthesized. The relative monomer reactivities of the various lactones were found to be quite different. However in the case of (alpha) -MBL and its derivatives they have good radical reactivities with methacrylates and maleic anhydride. Methacrylate derivatives with acid-labile protecting groups were introduced for dissolution contrast. To further promote adhesion the relative ratios of maleic anhydride and norbornylene derivatives was optimized. These novel resists resolve 120nm L/S with conventional illumination (NA=0.6, (sigma) =0.7) and 0.6micrometers DOF with annular illumination (NA=0.6, (sigma)


Archive | 2004

Resist composition comprising photosensitive polymer having lactone in its backbone

Kwang-sub Yoon; Dong-Won Jung; Si-Hyeung Lee; Hyun Woo Kim; Sook Lee; Sang-Gyun Woo; Sang-Jun Choi

=0.6/0.8). And also 100nm L/S resolution was achieved using strong off-axis illumination. Oxide etch resistance was found to be equivalent to acetal based KrF resists. Post exposure delay (PED) stability of more than 1 hour was achieved.


Archive | 2002

Fluorine-containing photosensitive polymer having hydrate structure and resist composition comprising the same

Kwang-sub Yoon; Ki-Yong Song; Sang-Jun Choi; Sang-Gyun Woo

Collaboration


Dive into the Kwang-sub Yoon's collaboration.

Researchain Logo
Decentralizing Knowledge