Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mario Hennig is active.

Publication


Featured researches published by Mario Hennig.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Irradiation resistance of intravolume shading elements embedded in photomasks used for CD uniformity control by local intra-field transmission attenuation

Eitan Zait; Guy Ben-Zvi; Vladimir Dmitriev; Sergey Oshemkov; Rainer Pforr; Mario Hennig

Intra-field CD variation is, besides OPC errors, a main contributor to the total CD variation budget in IC manufacturing. It is caused mainly by mask CD errors. In advanced memory device manufacturing the minimum features are close to the resolution limit resulting in large mask error enhancement factors hence large intra-field CD variations. Consequently tight CD Control (CDC) of the mask features is required, which results in increasing significantly the cost of mask and hence the litho process costs. Alternatively there is a search for such techniques (1) which will allow improving the intrafield CD control for a given moderate mask and scanner imaging performance. Currently a new technique (2) has been proposed which is based on correcting the printed CD by applying shading elements generated in the substrate bulk of the mask by ultrashort pulsed laser exposure. The blank transmittance across a feature is controlled by changing the density of light scattering pixels. The technique has been demonstrated to be very successful in correcting intra-field CD variations caused by the mask and the projection system (2). A key application criterion of this technique in device manufacturing is the stability of the absorbing pixels against DUV light irradiation being applied during mask projection in scanners. This paper describes the procedures and results of such an investigation. To do it with acceptable effort a special experimental setup has been chosen allowing an evaluation within reasonable time. A 193nm excimer laser with pulse duration of 25 ns has been used for blank irradiation. Accumulated dose equivalent to 100,000 300 mm wafer exposures has been applied to Half Tone PSM mask areas with and without CDC shadowing elements. This allows the discrimination of effects appearing in treated and untreated glass regions. Several intensities have been investigated to define an acceptable threshold intensity to avoid glass compaction or generation of color centers in the glass. The impact of the irradiation on the mask transmittance of both areas has been studied by measurements of the printed CD on wafer using a wafer scanner before and after DUV irradiation.


Optical Microlithography XVIII | 2005

Polarized light for resolution enhancement at 70 nm and beyond

Rainer Pforr; Mario Hennig; Max Hoepfl; Tomoyuki Matsuyama; Winfried Meier; Hisashi Nishinaga

We have investigated the impact of light polarization on the imaging performance of a high NA 193nm wafer scanner. This system allows the usage of well linear polarized light for imaging at several illumination modes. The printing performance of critical DRAM features have been investigated for various mask types like attenuated, chrome-less and alternating PSM using polarized and depolarized light. Moreover various illumination schemes such as circular, cross-pole and dipole illumination have been tested for different light polarization settings. An improvement of the resolution and the process window, and a reduction of the mask error enhancement factor compared to depolarized light have been obtained using appropriately chosen linear polarization. The influence of light polarization on the proximity behavior has been studied. Under investigation was specifically the proximity behavior change for mask features with attached sub-resolution assist features. Experimental data of the influence of the polarization on the intra-field CD uniformity of densely packed features of critical DRAM layers are presented. Based on the obtained measurement data the CD control improvement potential has been analyzed. Our experimental and simulation results verify that light polarization has resolution enhancing potential already for features of the 70nm node and - of course - beyond.


Photomask and next-generation lithography mask technology. Conference | 2002

Alternating phase-shifting masks: phase determination and impact of quartz defects--theoretical and experimental results

Uwe Griesinger; Wolfgang Dettmann; Mario Hennig; Jan P. Heumann; Roderick Koehle; Ralf Ludwig; Martin Verbeek; Mardjan Zarrabian

In optical lithography balancing the aerial image of an alternating phase shifting mask (alt. PSM) is a major challenge. For the exposure wavelengths (currently 248nm and 193nm) an optimum etching method is necessary to overcome imbalance effects. Defects play an important role in the imbalances of the aerial image. In this contribution defects will be discussed by using the methodology of global phase imbalance control also for local imbalances which are a result of quartz defects. The effective phase error can be determined with an AIMS-system by measuring the CD width between the images of deep- and shallow trenches at different focus settings. The AIMS results are analyzed in comparison to the simulated and lithographic print results of the alternating structures. For the analysis of local aerial image imbalances it is necessary to investigate the capability of detecting these phase defects with state of the art inspection systems. Alternating PSMs containing programmed defects were inspected with different algorithms to investigate the capture rate of special phase defects in dependence on the defect size. Besides inspection also repair of phase defects is an important task. In this contribution we show the effect of repair on the optical behavior of phase defects. Due to the limited accuracy of the repair tools the repaired area still shows a certain local phase error. This error can be caused either by residual quartz material or a substrate damage. The influence of such repair induced phase errors on the aerial image were investigated.


Photomask and next-generation lithography mask technology. Conference | 2003

Qualification of alternating PSM: defect inspection analysis in comparison to wafer printing results

Wolfgang Dettmann; Jan P. Heumann; Tanja Hagner; Roderick Koehle; Stephen Rahn; Martin Verbeek; Mardjan Zarrabian; Jens Weckesser; Mario Hennig; Nicolo Morgana

With alternating phase shift masks (altPSM) an enhancement technique is available to realize smaller design rules. Meanwhile the basic production process for this mask type is well known and established for 193nm technology development. The qualification of the masks is now in the focus of development work. Sensitive defect inspection is essential for the qualification of altPSMs. In addition accurate phase and transmission balancing measurement technique has to be applied. In this paper we are presenting a detailed defect printability study for sub-100nm feature size technology at 193nm wavelength. Programmed quartz defects with different shapes and sizes were designed. They were implemented in a lines/spaces altPSM design. The processed quartz defects were characterized with a scanning electron microscope and an arial imaging microscope system. The printing behavior of the defects was analyzed after wafer exposures. In addition the required sensitivity for the altPSM inspection was evaluated. Finally the inspection sensitivity was characterized and optimized with programmed and production like defects.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Introduction of full-level alternating phase-shift mask technology into IC manufacturing

Joerg Thiele; Marco Ahrens; Wolfgang Dettmann; Michael Heissmeier; Mario Hennig; Burkhard Ludwig; Molela Moukara; Rainer Pforr

A study to partition a gate level design into an alternating phase shift mask and a chrome on glass trim mask is presented. After determination of important rules for the partitioning by simulation, all investigated gate level pattern could be partitioned, only with slight modifications of the wiring. By application of optical proximity correction (OPC) good gate width and sufficient pattern fidelity control was obtained with the chosen OPC methodology using a calibrated optical model. Nevertheless, several indications of weak spots at two dimensional patterns at extreme defocus are discussed based on experimental data and simulation. To further improve the process window of such pattern, new methods are necessary to detect and prevent such remaining weak spots.


22nd Annual BACUS Symposium on Photomask Technology | 2002

AltPSM Inspection Capability and Printability of Quartz Defects

Jan P. Heumann; Mardjan Zarrabian; Mario Hennig; Wolfgang Dettmann; Larry S. Zurbrick; Michael Lang

Alternating phase shift masks (altPSMs) are a promising resolution enhancement technique to realize smaller design rules at the same lithography wavelength. Quartz defect inspection of altPSMs is challenging, as the optical contrast for defects within the quartz substrate is very small. AltPSM inspection capability was studied with different types of programmed test masks. The programmed quartz defects were characterized with a scanning electron microscope, an atomic force microscope and an aerial imaging microscope system. Finally a defect printability study was done. With the programmed test masks the performance of two altPSM inspection techniques was evaluated. Quartz defect detection was studied with respect to different pattern types and sizes. Quartz defect sensitivity was measured with respect to defect size as well as defect printability. It was found that quartz defect sensitivity with respect to defect size is constant for different pattern types, but decreases for decreasing line widths on 1:1 pitch line and space patterns. Whereas defect detection for the altPSM algorithms studied is governed by the defect’s extension perpendicular to the pattern line, defect printability is determined by the defect’s lateral area.


17th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2001

Phase defect inspection of 130-nm node phase-shift masks using a simultaneous transmitted and reflected light pattern inspection algorithm

Larry S. Zurbrick; David Emery; Maciej W. Rudzinski; Mark J. Wihl; Michel Prudhomme; Christian Dr. Crell; Uwe Griesinger; Manuel Vorwerk; Mario Hennig

Phase shifting mask technology will be necessary to product integrated circuits at the 130 nm node using KrF wavelength steppers. In order to successfully accomplish this goal, it is necessary to detect and repair phase shifting defects that may occur in the manufacture of these reticles. An inspection algorithm has been developed to improve the phase shift defect detection rate of an UV reticle inspection system and is based upon the simultaneous use of the transmitted and reflected light signals. This paper describes the phase defect sensitivity improvement over transmitted light only pattern inspection results and simultaneous transmitted and reflected light based contamination inspection results.


Optical Microlithography XVI | 2003

Full-level alternating PSM for sub-100nm DRAM gate patterning

Rainer Pforr; Marco Ahrens; Wolfgang Dettmann; Mario Hennig; Roderick Koehle; Burkhard Ludwig; Nicolo Morgana; Joerg Thiele

The lithographic potential of alternating PSM for sub-100nm gate patterning have been evaluated in comparison to alternative techniques. The status of the key elements of the full level alternating PSM approach including design conversion, optical proximity correction, mask making, double exposure and phase-shifting mask imaging will be demonstrated for a 256MDRAM device. Experimental data describing the phase-shifting mask quality, the lithographic process windows and the CD control obtained for alternating PSM in full level and array only approach will be presented.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Verifying high NA polarization OPC treatment on wafer

Ralph E. Schlief; Mario Hennig; Rainer Pforr; Jörg Thiele; Max Hoepfl

High NA scanners with adjustable polarization are becoming commercially available. Linear polarization has been shown to significantly improve imaging performance of preferentially oriented lines. Azimuthal and tangential polarization are now becoming commercially available. The latter has less asymmetry in its imaging and can resolve critical features oriented in multiple directions at the same time. Linear y-oriented or vertical polarization was used, since at the time of this work, azimuthal and tangential polarization were not available. Such x- and y-oriented linear polarization could be used in double exposure imaging, for example. Just as for unpolarized imaging, OPC models are required for polarized imaging that are accurate in (a) fitting and predicting experimental CD values, (b) fragmenting layout, and (c) correcting the fragmented layout to target. This paper describes the results of such a first OPC verification loop. Experimental proximity data in X- and Y-orientation were measured. Source polarization and wafer stack thin film effects were included in the empirically fit OPC simulation model. A parallel investigation was undertaken using an unpolarized source. It served as the reference case. Simple test patterns as well product-like 2D layout was treated with the vertically polarized and unpolarized OPC models. A test mask was written and wafer printing results obtained. They demonstrated the validity of the approach and pointed to further OPC model improvements.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Alternating PSM balancing characterization: a comparative study using AIMS and wafer print data

Martin Sczyrba; Roderick Köhle; Karsten Bubke; Mario Hennig; Rainer Pforr; Ralf Neubauer

Alternating Phase-Shifting masks (altPSM) are known to provide high contrast imaging combined with a low Mask Error Enhancement Factor (MEEF) at low k1. At feature sizes close to 60nm half-pitch and less the impact of mask topography effects increases. This applies in particular for altPSM. This is due to the quartz etch which is required for every second mask aperture to obtain the 180 degrees phase shift. It enlarges the mask profile height significantly. The influence of the quartz trench profile on the transmission and phase balancing performance has already been studied extensively. Basically it has been shown, that tighter quartz trench profile control, specifically for etch depth and width, is required with decreasing mask feature half pitch. The desired mask pattern geometry optimization is currently based on an evaluation of the printed resist pattern over defocus. However, a mask process engineer can use instead only AIMS measurements of the mask features. Therefore there is a mature interest to check, how good such measurements can replace resist pattern measurements. In the paper therefore it is evaluated how accurate AIMS measurements can describe the real printing performance of an alternating PSM in resist. Impact of differences of the image formation is investigated by use of analytical expressions. Furthermore, the influence of tool imperfections and the presence of resist are discussed. The theoretical results are compared to experimental data taken from AIMS measurements and wafer prints.

Collaboration


Dive into the Mario Hennig's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge