Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Roderick Koehle is active.

Publication


Featured researches published by Roderick Koehle.


26th Annual International Symposium on Microlithography | 2001

Application of 3D EMF simulation for development and optimization of alternating phase-shifting masks

Armin Semmler; Leonhard Mader; Annika Elsner; Roderick Koehle; Uwe Griesinger; Christoph Noelscher

Besides halftone phase shifting masks (HTPSM) in combination with off-axis illumination alternating phase shifting masks (altPSM) are becoming more and more an important resolution enhancement technique. Their obvious benefits can only yield profit in production if certain mask properties like intensity and phase balance are controlled to a requisite extent. In order to achieve production capable masks within a reasonable time and cost frame simulation tools are of essential importance for mask development and manufacturing. Four our studies we employed solid-CMTM, a 3D EMF (electro magnetic field) simulator that handles arbitrary topographical masks. It is demonstrated by examples that these capabilities are mandatory for altPSM development. In this paper we discuss the effects of various issues relevant for development and manufacturing of altPSM on a basis of systematic 3D EMF simulations. For different balancing options sensitivity to phase errors, mask CD errors and pitch/feature size were investigated. Comparisons to 2D simulation are made for further illustration. We show the influence of certain mask errors on process window and draw conclusions for optimizing altPSM manufacturing.


Optical Microlithography XVIII | 2005

Fast TCC algorithm for the model building of high NA lithography simulation

Roderick Koehle

The shrink roadmap of semiconductors results in tighter specification of the wafer structures. Besides technological advancement and tighter tolerances for mask and scanner technology, it also requires an improvement of the numerical- and modeling accuracy of lithography simulation and proximity correction software. The modeling of high NA polarization effects and reflections within the resist stack are taken into account by current simulation models. Tool vendors support modeling accuracy by characterizing optical parameters of the scanners by measurement of the lens aberrations and the illumination pupil. The goal of this paper is to provide an algorithm, which allows achieving higher numerical accuracy by being able to use bitmaps with higher resolution for lens and illumination pupil at reasonable computational speed. While the focus of this paper is on optical lithography, the method itself is also of interest for the simulation of scanning laser microscopy such as optical disks or mask inspection.


Photomask and next-generation lithography mask technology. Conference | 2002

Alternating phase-shifting masks: phase determination and impact of quartz defects--theoretical and experimental results

Uwe Griesinger; Wolfgang Dettmann; Mario Hennig; Jan P. Heumann; Roderick Koehle; Ralf Ludwig; Martin Verbeek; Mardjan Zarrabian

In optical lithography balancing the aerial image of an alternating phase shifting mask (alt. PSM) is a major challenge. For the exposure wavelengths (currently 248nm and 193nm) an optimum etching method is necessary to overcome imbalance effects. Defects play an important role in the imbalances of the aerial image. In this contribution defects will be discussed by using the methodology of global phase imbalance control also for local imbalances which are a result of quartz defects. The effective phase error can be determined with an AIMS-system by measuring the CD width between the images of deep- and shallow trenches at different focus settings. The AIMS results are analyzed in comparison to the simulated and lithographic print results of the alternating structures. For the analysis of local aerial image imbalances it is necessary to investigate the capability of detecting these phase defects with state of the art inspection systems. Alternating PSMs containing programmed defects were inspected with different algorithms to investigate the capture rate of special phase defects in dependence on the defect size. Besides inspection also repair of phase defects is an important task. In this contribution we show the effect of repair on the optical behavior of phase defects. Due to the limited accuracy of the repair tools the repaired area still shows a certain local phase error. This error can be caused either by residual quartz material or a substrate damage. The influence of such repair induced phase errors on the aerial image were investigated.


Photomask and next-generation lithography mask technology. Conference | 2003

Fourier analysis of AIMS images for mask characterization

Roderick Koehle; Wolfgang Dettmann; Martin Verbeek

Mask characterization and qualification are becoming more and more difficult for high-end and alternating phase shifting masks. One choice is to use indirect methods like as SEM/AFM measurements to characterize mask performance. The indirect measurement has the disadvantage that the measured CD is not the true merit function. It is therefore tempting to use optical methods to characterize masks. The Zeiss AIMS (Aerial Image Measurement System) microscope is particular appealing for this task since it simulates the lithographic imaging optics. The key problem is the reliability and repeatability of the resulting AIMS measurements. The quality of the measurement depends strongly on the tool characteristic such as illumination stability and operator skill, e.g. for focus adjustment. In this paper we discuss the application of image processing and Fourier analysis techniques to AIMS images of periodic structures. By computing the Fourier series coefficients one obtains a very compact but complete description of the AIMS image over defocus. This computation and interpretation of the series coefficients allows to compensate many error influences such as mask rotation, tool magnification and focus adjustment. The algorithm is demonstrated on COG and alt. phase shifting mask measurements for a wavelength of 193nm and compared to the results obtained by simulation.


Photomask and next-generation lithography mask technology. Conference | 2003

Qualification of alternating PSM: defect inspection analysis in comparison to wafer printing results

Wolfgang Dettmann; Jan P. Heumann; Tanja Hagner; Roderick Koehle; Stephen Rahn; Martin Verbeek; Mardjan Zarrabian; Jens Weckesser; Mario Hennig; Nicolo Morgana

With alternating phase shift masks (altPSM) an enhancement technique is available to realize smaller design rules. Meanwhile the basic production process for this mask type is well known and established for 193nm technology development. The qualification of the masks is now in the focus of development work. Sensitive defect inspection is essential for the qualification of altPSMs. In addition accurate phase and transmission balancing measurement technique has to be applied. In this paper we are presenting a detailed defect printability study for sub-100nm feature size technology at 193nm wavelength. Programmed quartz defects with different shapes and sizes were designed. They were implemented in a lines/spaces altPSM design. The processed quartz defects were characterized with a scanning electron microscope and an arial imaging microscope system. The printing behavior of the defects was analyzed after wafer exposures. In addition the required sensitivity for the altPSM inspection was evaluated. Finally the inspection sensitivity was characterized and optimized with programmed and production like defects.


Optical Microlithography XVII | 2004

First results from AIMS beta tool for 157-nm lithography

Silvio Teuber; Iwao Higashikawa; Jan-Peter Urbach; Christof Matthias Schilz; Roderick Koehle; Axel Zibold

In modern mask manufacturing, a successful defect mitigation strategy has been become crucial to achieve defect free masks for high-end lithography. The basic steps of such a strategy include inspection, repair, and subsequent post-repair qualification of repair sites. For the latter task, actinic aerial image measurements have been proven to be the technique of choice to assess the printability of a repaired site. In the last three years, International SEMATECH in cooperation with Infineon/AMTC-Dresden and SELETE, funded a joint development project at Carl Zeiss to develop an AIMS tool operating at the 157nm wavelength. The three beta tools were shipped in 2003 to the three beta customer sites. In this paper are presented the first results obtained with these beta tools, including measurements on binary as well as alternating phase shift masks. The technical properties of the tool were discussed with regards to the capability of the tool for defect qualification on photomasks. Additionally, preliminary results of the evaluation of alternating phase shift masks will be discussed, including measurements performed on dense lines-and-spaces structures with various pitch sizes.


Optical Microlithography XVI | 2003

Full-level alternating PSM for sub-100nm DRAM gate patterning

Rainer Pforr; Marco Ahrens; Wolfgang Dettmann; Mario Hennig; Roderick Koehle; Burkhard Ludwig; Nicolo Morgana; Joerg Thiele

The lithographic potential of alternating PSM for sub-100nm gate patterning have been evaluated in comparison to alternative techniques. The status of the key elements of the full level alternating PSM approach including design conversion, optical proximity correction, mask making, double exposure and phase-shifting mask imaging will be demonstrated for a 256MDRAM device. Experimental data describing the phase-shifting mask quality, the lithographic process windows and the CD control obtained for alternating PSM in full level and array only approach will be presented.


Optical Microlithography XVI | 2003

Image performance and mask characterization of 157-nm alternating phase-shifting mask

Yung-Tin Chen; Jeff Meute; Kim Dean; David R. Stark; Christof Matthias Schilz; Wolfgang Dettmann; Roderick Koehle; Bettina Schiessl; Wolfgang Degel

In this paper, we present a process of balancing the aerial image and analyzing the results of resist images of 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm as predicted by simulations. With this dual trenched mask, the wafer printing images show tremendous improvement on “line walking” or “line paring” phenomena. The ultimate resolution is 60nm dense line. The focus latitude is around 0.1 to 0.15 um. We also used a 157-nm AIMS tool to check intensity balance. The results supports balanced intensity of this mask. For mask quality characterization, etch depth is measured by AFM and mask CD is measured by CD SEM. The uniformity of etching depth and mask CD are all within specifications. We also present some abnormal CD variation across line array observed during this investigation. The results from this work give a good groundwork of 157-nm capability of alternating PSM and 157-nm resist imaging quality.


Optical Microlithography XVII | 2004

Hard phase-shifting masks for the 65-nm node: a performance comparison

Rainer Pforr; Mario Hennig; Roderick Koehle; Nicolo Morgana; Joerg Thiele; Jens Weckesser

The lithographic potential of various mask types for the printing of 65nm features has been investigated by simulation and experimentation. As key parameters process window, mask error enhancement factor, balancing performance, and phase and CD error susceptibility have been analyzed. Alternating chromeless phase-shifting masks (PSM) show the smallest mask error enhancement factor (MEEF), but the largest phase and CD error sensitivity. Alternating PSM have a larger MEEF but require less tight mask specifications. Double edge chromeless PSM combine small MEEF value with relaxed phase and CD control specifications when an appropriate illumination is chosen. Good intra-field CD control and sufficient large process window for 65nm pattern can be obtained for this mask type. The impact of aberrations and pupil imperfections on the CD control has been investigated. The mask processes will be discussed and mask performance data introduced.


26th Annual International Symposium on Microlithography | 2001

Alternating phase-shifting mask application: effect of width and geometry of shifters, 3D EMF simulation and experimental verification

Armin Semmler; Annika Elsner; Roderick Koehle; Leonhard Mader; Rainer Pforr; Christoph Noelscher; Christoph Friedrich; Juergen Knobloch; Uwe Griesinger

Besides assist features in combination with HTPSM (half-tone phase shifting mask} and off-axis illumination altPSM (alternating phase shifting mask} is the major resolution enhancement technique to extend optical lithography to low k1. AltPSM in addition has the potential of superior CD control. However to achieve this in production altPSM has to fullfil a number of specifications with respect to phase and transmission. Another important aspect to obtain maximum CD control and overlapping process window for all kinds of structures at different pitches is that the phase shifters need to be optimized. Optimizing shifters by means of simulation results provides valuable input for both setting up design rules for altPSM application and for development of OPC strategies and software. Therefore various systems with different widths of lines and shifters were studied with special focus on basic asymmetric cases. We applied Solid-CM TM, a 3D EMF (electro magnetic field) simulator for our studies. Some results obtained from simulation were experimentally verified by wafer printing results (SEM imaging and CD measurement}. In addition, comparison to 2D simulation results clearly allows the determination of cases in which 3D effects have to be taken into account. The effect of varying shifters is monitored by pattern placement and process window analysis. We apply this investigation to develop solution strategies and to optimize shifter dimensions.

Collaboration


Dive into the Roderick Koehle's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge