Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark Tehranipoor is active.

Publication


Featured researches published by Mark Tehranipoor.


Journal of Electronic Testing | 2014

Counterfeit Integrated Circuits: Detection, Avoidance, and the Challenges Ahead

Ujjwal Guin; Daniel DiMase; Mark Tehranipoor

The counterfeiting of electronic components has become a major challenge in the 21st century. The electronic component supply chain has been greatly affected by widespread counterfeit incidents. A specialized service of testing, detection, and avoidance must be created to tackle the worldwide outbreak of counterfeit integrated circuits (ICs). So far, there are standards and programs in place for outlining the testing, documenting, and reporting procedures. However, there is not yet enough research addressing the detection and avoidance of such counterfeit parts. In this paper we will present, in detail, all types of counterfeits, the defects present in them, and their detection methods. We will then describe the challenges to implementing these test methods and to their effectiveness. We will present several anti-counterfeit measures to prevent this widespread counterfeiting, and we also consider the effectiveness and limitations of these anti-counterfeiting techniques.


ACM Transactions on Design Automation of Electronic Systems | 2016

Hardware Trojans: Lessons Learned after One Decade of Research

Kan Xiao; Domenic Forte; Yier Jin; Ramesh Karri; Swarup Bhunia; Mark Tehranipoor

Given the increasing complexity of modern electronics and the cost of fabrication, entities from around the globe have become more heavily involved in all phases of the electronics supply chain. In this environment, hardware Trojans (i.e., malicious modifications or inclusions made by untrusted third parties) pose major security concerns, especially for those integrated circuits (ICs) and systems used in critical applications and cyber infrastructure. While hardware Trojans have been explored significantly in academia over the last decade, there remains room for improvement. In this article, we examine the research on hardware Trojans from the last decade and attempt to capture the lessons learned. A comprehensive adversarial model taxonomy is introduced and used to examine the current state of the art. Then the past countermeasures and publication trends are categorized based on the adversarial model and topic. Through this analysis, we identify what has been covered and the important problems that are underinvestigated. We also identify the most critical lessons for those new to the field and suggest a roadmap for future hardware Trojan research.


vlsi test symposium | 2007

Supply Voltage Noise Aware ATPG for Transition Delay Faults

Nisar Ahmed; Mark Tehranipoor; Vinay Jayaram

The sensitivity of very deep submicron designs to supply voltage noise is increasing due to higher path delay variations and reduced noise margins with supply noise scaling. The supply noise of delay test during at-speed launch and capture is significantly larger compared to normal circuit operation since larger number of transitions occur within a short time frame. Our simulations have shown that for identical switching activity, a pattern with a short switching time frame window will surge more current from the power network, thereby causing higher IR-drop. In this paper, the authors propose a novel method to measure the average power of at-speed test patterns, referred to as switching cycle average power (SCAP). The authors present a case study of the IR-drop effects on design performance during at-speed test. A new practical framework is proposed to generate supply noise tolerant delay test patterns. The proposed framework uses existing commercial ATPG tools and a wrapper is added around them. The results demonstrate that the new patterns generated using our framework will significantly reduce the supply noise


ACM Journal on Emerging Technologies in Computing Systems | 2016

A Survey on Chip to System Reverse Engineering

Shahed E. Quadir; Junlin Chen; Domenic Forte; Navid Asadizanjani; Sina Shahbazmohamadi; Lei Wang; John A. Chandy; Mark Tehranipoor

The reverse engineering (RE) of electronic chips and systems can be used with honest and dishonest intentions. To inhibit RE for those with dishonest intentions (e.g., piracy and counterfeiting), it is important that the community is aware of the state-of-the-art capabilities available to attackers today. In this article, we will be presenting a survey of RE and anti-RE techniques on the chip, board, and system levels. We also highlight the current challenges and limitations of anti-RE and the research needed to overcome them. This survey should be of interest to both governmental and industrial bodies whose critical systems and intellectual property (IP) require protection from foreign enemies and counterfeiters who possess advanced RE capabilities.


asian test symposium | 2008

CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing

Hiroshi Furukawa; Xiaoqing Wen; Yuta Yamato; Seiji Kajihara; Patrick Girard; Laung-Terng Wang; Mark Tehranipoor

At-speed scan testing is susceptible to yield loss risk due to power supply noise caused by excessive launch switching activity. This paper proposes a novel two-stage scheme, namely CTX (Clock-Gating-Based Test Relaxation and X-Filling), for reducing switching activity when test stimulus is launched. Test relaxation and X-filling are conducted (1) to make as many FFs inactive as possible by disabling corresponding clock-control signals of clock-gating circuitry in Stage-1 (Clock-Disabling), and (2) to make as many remaining active FFs as possible to have equal input and output values in Stage-2 (FF-Silencing). CTX effectively reduces launch switching activity, thus yield loss risk, even with a small number of donpsilat care (X) bits as in test compression, without any impact on test data volume, fault coverage, performance, and circuit design.


defect and fault tolerance in vlsi and nanotechnology systems | 2014

CSST: Preventing distribution of unlicensed and rejected ICs by untrusted foundry and assembly

Md. Tauhidur Rahman; Domenic Forte; Quihang Shi; Gustavo K. Contreras; Mark Tehranipoor

The globalization of the semiconductor design and fabrication industry (also known as the horizontal business model) has led to many well-documented issues associated with untrusted foundries and assemblies, including IC overproduction, cloning, and the shipping of improperly or insufficiently tested chips. Besides the loss in profits to Intellectual Property (IP) owners, such chips entering the supply chain can have catastrophic consequences for critical applications. We propose a new Secure Split-Test (SST) scheme called the Connecticut SST (CSST) in which the IP owner takes full control over testing. In CSST, each chip and its scan chains are locked during testing, and only the IP owner can interpret the locked test results and unlock passing chips. The new SST can prevent overproduced, defective, and cloned chips from reaching the supply chain. The proposed method considerably simplifies the communication required between the foundry/assembly and the IP owner compared to the original version of the SST. The results demonstrate that our new technique is more secure than the original and has lower communication overheads.


IEEE Transactions on Very Large Scale Integration Systems | 2013

Crosstalk- and Process Variations-Aware High-Quality Tests for Small-Delay Defects

Ke Peng; Mahmut Yilmaz; Krishnendu Chakrabarty; Mark Tehranipoor

The population of small-delay defects (SDDs) in integrated circuits increases significantly as technology scales to 65 nm and below. Therefore, testing for SDDs is necessary to ensure the quality and reliability of high-performance integrated circuits fabricated with the latest technologies. Commercial timing-aware automatic test pattern generation (ATPG) tools have been developed for SDD detection. However, they only use static timing analysis reports in the form of standard delay format for path-length calculation and neglect important underlying causes, such as process variations, crosstalk, and power-supply noise, which can also induce small delays into the circuit and impact the timing of targeted paths. In this paper, we present an efficient pattern evaluation and selection procedure for screening SDDs that are caused by physical defects and by delays added to paths by process variations and crosstalk. In this procedure, the best patterns for SDDs are selected from a large repository test set. Experimental results demonstrate that our method sensitizes more LPs, detects more SDDs with a much smaller pattern count, and needs less CPU runtime compared with a commercial timing-aware ATPG tool.


IEEE Computer | 2014

Cybersecurity Standards: Managing Risk and Creating Resilience

Zachary A. Collier; Daniel DiMase; Steve Walters; Mark Tehranipoor; James H. Lambert; Igor Linkov

A risk-based cybersecurity framework must continuously assimilate new information and track changing stakeholder priorities and adversarial capabilities, using decision-analysis tools to link technical data with expert judgment.


Archive | 2017

Hardware Protection through Obfuscation

Domenic Forte; Swarup Bhunia; Mark Tehranipoor

This book introduces readers to various threats faced during design and fabrication by todays integrated circuits (ICs) and systems. The authors discuss key issues, including illegal manufacturing of ICs or IC Overproduction, insertion of malicious circuits, referred as Hardware Trojans, which cause in-field chip/system malfunction, and reverse engineering and piracy of hardware intellectual property (IP). The authors provide a timely discussion of these threats, along with techniques for IC protection based on hardware obfuscation, which makes reverse-engineering an IC design infeasible for adversaries and untrusted parties with any reasonable amount of resources. This exhaustive study includes a review of the hardware obfuscation methods developed at each level of abstraction (RTL, gate, and layout) for conventional IC manufacturing, new forms of obfuscation for emerging integration strategies (split manufacturing, 2.5D ICs, and 3D ICs), and on-chip infrastructure needed for secure exchange of obfuscation keys- arguably the most critical element of hardware obfuscation.


IEEE Transactions on Very Large Scale Integration Systems | 2016

Design of Accurate Low-Cost On-Chip Structures for Protecting Integrated Circuits Against Recycling

Ujjwal Guin; Domenic Forte; Mark Tehranipoor

The recycling of electronic components has become a major industrial and governmental concern, as it could potentially impact the security and reliability of a wide variety of electronic systems. It is extremely challenging to detect a recycled integrated circuit (IC) that is already used for a very short period of time because the process variations outpace the degradation caused by aging, especially in lower technology nodes. In this paper, we propose a suite of solutions, based on lightweight negative bias temperature instability (NBTI)-aware ring oscillators (ROs), for combating die and IC recycling (CDIR) when ICs are used for a very short duration. The proposed solutions are implemented in the 90-nm technology node. The simulation results demonstrate that our newly proposed NBTI-aware multiple pair RO-based CDIRs can detect ICs used only for a few hours.

Collaboration


Dive into the Mark Tehranipoor's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ujjwal Guin

University of Connecticut

View shared research outputs
Top Co-Authors

Avatar

Zimu Guo

University of Florida

View shared research outputs
Top Co-Authors

Avatar

Qihang Shi

University of Connecticut

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge