Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masafumi Hori is active.

Publication


Featured researches published by Masafumi Hori.


Proceedings of SPIE | 2017

Dual brush process for selective surface modification in graphoepitaxy directed self-assembly

Jan Doise; Boon Teik Chan; Masafumi Hori; Roel Gronheid

Grapho-epitaxy directed self-assembly is a potential low-cost solution for patterning via layers with pitches beyond the reach of a single optical lithographic exposure. In this process, selective control of the interfacial energy at the bottom and sidewall of the template is an important but challenging exercise. In this work, a dual brush process is implemented, in which two brushes with distinct end-groups are consecutively grafted to the pre-pattern to achieve fully independent modification of the bottom and sidewall surface of the template. A comprehensive study of hole pattern quality shows that using a dual brush process leads to a substantial improvement in terms of positional and dimensional variability across the process window. These findings will be useful to others who wish to manipulate polymer-surface interactions in directed self-assembly flows.


Proceedings of SPIE | 2017

Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

Seiji Nagahara; Michael A. Carcasi; Gosuke Shiraishi; Hisashi Nakagawa; Satoshi Dei; Takahiro Shiozawa; Kathleen Nafus; Danilo De Simone; Geert Vandenberghe; Hans-Jürgen Stock; Bernd Küchler; Masafumi Hori; Takehiko Naruoka; Tomoki Nagai; Yukie Minekawa; Tomohiro Iseki; Yoshihiro Kondo; Kosuke Yoshihara; Yuya Kamei; Masaru Tomono; Ryo Shimada; S. Biesemans; Hideo Nakashima; Philippe Foubert; Elizabeth Buitrago; Michaela Vockenhuber; Yasin Ekinci; Akihiro Oshima; Seiichi Tagawa

A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2017

Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

Jan Doise; Joost Bekaert; Boon Teik Chan; Masafumi Hori; Roel Gronheid

Abstract. Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Constructing a robust PSCARTM process for EUV (Conference Presentation)

Michael A. Carcasi; Seiji Nagahara; Gosuke Shiraishi; Yukie Minekawa; Hiroyuki Ide; Kosuke Yoshihara; Masaru Tomono; Ryo Shimada; Geert Vandenberghe; Danilo De Simone; Philippe Foubert; Akihiro Oshima; Seiichi Tagawa; Kazuhiro Takeshita; Teruhiko Moriya; Yuya Kamei; Kathleen Nafus; S. Biesemans; Hideo Nakashima; Hisashi Nakagawa; Takehiko Naruoka; Tomoki Nagai; Masafumi Hori; Satoshi Dei; Ken Maruyama; Yoshihiro Kondo; Masayuki Miyake; Motoyuki Shima; John S. Petersen

In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8. PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only. Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.


Advances in Patterning Materials and Processes XXXV | 2018

EUV resist sensitization and roughness improvement by PSCAR with in-line flood exposure system (Conference Presentation)

Seiji Nagahara; Michael A. Carcasi; Gosuke Shiraishi; Yuya Kamei; Kathleen Nafus; Yukie Minekawa; Hiroyuki Ide; Yoshihiro Kondo; Takahiro Shiozawa; Keisuke Yoshida; Masashi Enomoto; Kosuke Yoshihara; Hideo Nakashima; S. Biesemans; Ryo Shimada; Masaru Tomono; Kazuhiro Takeshita; Teruhiko Moriya; Hayakawa Makoto; Ryo Aizawa; Yoshitaka Konishi; Masafumi Hori; Ken Maruyama; Hisashi Nakagawa; Masayuki Miyake; Tomoki Nagai; Satoshi Dei; Takehiko Naruoka; Motoyuki Shima; Toru Kimura

Photosensitized Chemically Amplified ResistTM (PSCARTM) **2.0’s advantages and expectations are reviewed in this paper. Alpha PSCAR in-line UV exposure system (“Litho Enhancer”) was newly installed at imec in a Tokyo Electron Ltd. (TELTM)’s CLEAN TRACKTM LITHIUS ProTM Z connected to an ASML’s NXE:3300. Using the Litho Enhancer, PSCAR 2.0 sensitization preliminary results show that suppression of roughness enhancement may occur while sensitivity is increased. The calibrated PSCAR 2.0 simulator is used for prediction of resist formulation and process optimization. The simulation predicts that resist contrast enhancement could be realized by resist formulation and process optimization with UV flood exposure.


Proceedings of SPIE | 2017

Novel EUV photoresist for sub-7nm node (Conference Presentation)

Tsuyoshi Furukawa; Takehiko Naruoka; Hisashi Nakagawa; Hiromu Miyata; Motohiro Shiratani; Masafumi Hori; Satoshi Dei; Ramakrishnan Ayothi; Yoshi Hishiro; Tomoki Nagai

Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.


Archive | 2013

RESIST PATTERN-FORMING METHOD, AND RADIATION-SENSITIVE RESIN COMPOSITION

Hirokazu Sakakibara; Taiichi Furukawa; Reiko Kimura; Masafumi Hori


Archive | 2015

Pattern-forming method, and radiation-sensitive composition

Hirokazu Sakakibara; Masafumi Hori; Taiichi Furukawa; Koji Ito


Archive | 2017

Radiation-sensitive resin composition and resist pattern forming method

Hiromu Miyata; Hayato Namai; Masafumi Hori


Archive | 2012

Resist pattern formation method, radiation-sensitive resin composition, and resist film

Hiromitsu Nakashima; 浩光 中島; Toru Kimura; 木村 徹; Yusuke Asano; 裕介 浅野; Masafumi Hori; 雅史 堀; Reiko Kimura; 木村 礼子; Kazuki Kasahara; 一樹 笠原; Hiromu Miyata; 拡 宮田; Masafumi Yoshida; 吉田 昌史

Collaboration


Dive into the Masafumi Hori's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge