Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masahiro Kanno is active.

Publication


Featured researches published by Masahiro Kanno.


Journal of Micro-nanolithography Mems and Moems | 2013

Contact hole shrink process using graphoepitaxial directed self-assembly lithography

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hirokazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

Abstract. A contact hole shrink process using directed self-assembly lithography (DSAL) for sub-30 nm contact hole patterning is reported on. DSAL using graphoepitaxy and poly (styrene-block-methyl methacrylate) (PS-b-PMMA) a block copolymer (BCP) was demonstrated and characteristics of our process are spin-on-carbon prepattern and wet development. Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window. Wet development process was optimized first; then critical dimension (CD) tolerance of prepattern was evaluated from three different aspects, which are DSA hole CD, contact edge roughness (CER), and hole open yield. Within 70+/−5  nm hole prepattern CD, 99.3% hole open yield was obtained and CD tolerance was 10 nm. Matching between polymer size and prepattern size is critical, because thick PS residual layer appears at the hole bottom when the prepattern holes are too small or too large and results in missing holes after pattern transfer. We verified the DSAL process on a 300-mm wafer at target prepattern CD and succeeded in patterning sub-30 nm holes on center, middle, and edge of wafer. Average prepattern CD of 72 nm could be shrunk uniformly to DSA hole pattern of 28.5 nm. By the DSAL process, CD uniformity was greatly improved from 7.6 to 1.4 nm, and CER was also improved from 3.9 to 0.73 nm. Those values represent typical DSAL rectification characteristics and are significant for semiconductor manufacturing. It is clearly demonstrated that the contact hole shrink using DSAL is a promising patterning method for next-generation lithography.


Proceedings of SPIE | 2013

Dissipative particle dynamics simulations to optimize contact hole shrink process using graphoepitaxial directed self-assembly

Hironobu Sato; Hiroki Yonemitsu; Yuriko Seino; Hirokazu Kato; Masahiro Kanno; Katsutoshi Kobayashi; Ayako Kawanishi; Katsuyoshi Kodera; Tsukasa Azuma

Dissipative particle dynamics (DPD) simulations are utilized to optimize contact hole shrink process using graphoepitaxial directed self-assembly (DSA). In this work, poly (styrene-block-methyl methacrylate) (PS-b-PMMA) was employed. In the contact hole shrink process, PS residual layer was formed on the bottom floor of the hole type prepattern. To realize reliable contact hole shrink process, minimization of the thickness of PS residual layer was one of the key issues. It was found that the minimization of the thickness of the PS residual layer and optimization of threedimensional configuration of the PMMA domain was trade-off relationship. By using DPD simulations, the parameters were successfully optimized to achieve residual layer free contact hole shrink of DSA lithography.


Proceedings of SPIE | 2012

Contact hole shrink process using directed self-assembly

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hikazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

We report on a contact hole shrink process using directed self-assembly. A diblock copolymer, poly (styrene-blockmethyl methacrylate) (PS-b-PMMA), is used to shrink contact holes. Contact hole guide patterns for graphoepitaxy are formed by ArF photoresists. Cylindrical domains of PMMA is removed using organic solvents after DUV (λ <200 nm) irradiation. In this work, it is found that a solvent system is the best developer from the evaluated single solvent systems and mixed solvent systems. The wet development of PS-b-PMMA strongly depends on total exposure dose of DUV irradiation. With lower exposure dose, the cylindrical domains of PMMA are not clearly removed. With optimum exposure dose, PMMA is developed clearly. The contact hole guide patterns of 75 nm in diameter are successfully shrunk to 20 nm in diameter using the wet development process.


Proceedings of SPIE | 2011

Nanopatterning of diblock copolymer directed self-assembly lithography with wet development

Makoto Muramatsu; Mitsuaki Iwashita; Takahiro Kitano; Takayuki Toshima; Yuriko Seino; Daisuke Kawamura; Masahiro Kanno; Katsutoshi Kobayashi; Tsukasa Azuma

We report wet development technique for directed self-assembly lithography pattern. For typical diblock copolymer, poly (styrene-block-methyl methacrylate) (PS-b-PMMA), the PMMA area is removed by O2 plasma. However, O2 plasma attack also etches off PS area simultaneously. As a result, the thickness of residual PS pattern is thinner and it causes degradation of PS mask performance. PS thickness loss in the device integration is not desirable as etching mask role. In this work, we applied wet development technique which could be higher selectivity to keep PS film thickness after pattern formation. Especially, we propose the method using low pressure mercury lamp and conventional TMAH (2.38%) as developer. It is expected to accomplish pattern formation in one track with coating, baking, exposure and development.


Proceedings of SPIE | 2016

Sub-15nm patterning technology using directed self-assembly on nano-imprinting guide

Seiji Morita; Masahiro Kanno; Ryousuke Yamamoto; Norikatsu Sasao; Shinobu Sugimura

In next generation lithography to make sub-15nm pattern, Directed self-assembly (DSA) and Nano-imprint lithography (NIL) are proposed. The current DSA process is complicated and it is difficult to decrease width and line edge roughness of a guide pattern for sub-15nm patterning. In the case of NIL, it is difficult to make the master template having sub- 15nm pattern. This paper describes cost-effective lithography process for making sub-15nm pattern using DSA on a guide pattern replicated by Nano-imprinting (NIL + DSA). Simple process for making sub-15nm pattern is proposed. The quartz templates are made and line/space patterns of half pitch (hp) 12nm and hp9.5nm are obtained by NIL + DSA.


Archive | 2013

Pattern formation method and polymer alloy base material

Shigeki Hattori; Koji Asakawa; Hiroko Nakamura; Ryota Kitagawa; Yuriko Seino; Masahiro Kanno; Momoka Higa


Microelectronic Engineering | 2013

Sub-30nm via interconnects fabricated using directed self-assembly

Hirokazu Kato; Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Katsutoshi Kobayashi; Ayako Kawanishi; Tsubasa Imamura; Mitsuhiro Omura; Naofumi Nakamura; Tsukasa Azuma


Journal of Photopolymer Science and Technology | 2013

Electrical Via Chain Yield for DSA Contact Hole Shrink Process

Hirokazu Kato; Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Katsutoshi Kobayashi; Ayako Kawanishi; Tsubasa Imamura; Mitsuhiro Omura; Naofumi Nakamura; Tsukasa Azuma


Archive | 2015

METHOD OF FORMING PATTERN AND LAMINATE

Atsushi Hieno; Shigeki Hattori; Hiroko Nakamura; Satoshi Mikoshiba; Koji Asakawa; Masahiro Kanno; Yuriko Seino; Tsukasa Azuma


Archive | 2002

Etching apparatus and etching method

Masahiro Kanno

Collaboration


Dive into the Masahiro Kanno's collaboration.

Researchain Logo
Decentralizing Knowledge