Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tsukasa Azuma is active.

Publication


Featured researches published by Tsukasa Azuma.


Journal of Micro-nanolithography Mems and Moems | 2013

Contact hole shrink process using graphoepitaxial directed self-assembly lithography

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hirokazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

Abstract. A contact hole shrink process using directed self-assembly lithography (DSAL) for sub-30 nm contact hole patterning is reported on. DSAL using graphoepitaxy and poly (styrene-block-methyl methacrylate) (PS-b-PMMA) a block copolymer (BCP) was demonstrated and characteristics of our process are spin-on-carbon prepattern and wet development. Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window. Wet development process was optimized first; then critical dimension (CD) tolerance of prepattern was evaluated from three different aspects, which are DSA hole CD, contact edge roughness (CER), and hole open yield. Within 70+/−5  nm hole prepattern CD, 99.3% hole open yield was obtained and CD tolerance was 10 nm. Matching between polymer size and prepattern size is critical, because thick PS residual layer appears at the hole bottom when the prepattern holes are too small or too large and results in missing holes after pattern transfer. We verified the DSAL process on a 300-mm wafer at target prepattern CD and succeeded in patterning sub-30 nm holes on center, middle, and edge of wafer. Average prepattern CD of 72 nm could be shrunk uniformly to DSA hole pattern of 28.5 nm. By the DSAL process, CD uniformity was greatly improved from 7.6 to 1.4 nm, and CER was also improved from 3.9 to 0.73 nm. Those values represent typical DSAL rectification characteristics and are significant for semiconductor manufacturing. It is clearly demonstrated that the contact hole shrink using DSAL is a promising patterning method for next-generation lithography.


23rd Annual International Symposium on Microlithography | 1998

Resist edge roughness with reducing pattern size

Eishi Shiobara; Daisuke Kawamura; Kentaro Matsunaga; Toru Koike; Shoji Mimotogi; Tsukasa Azuma; Yasunobu Onishi

Recently, resist edge roughness with reducing pattern size has become a serious problem. We investigated the roughness of chemically amplified, positive-tone resists, experimentally. To reduce the roughness, we added a quencher with strong basicity to the resist, and observed sub quarter micron nested lines. As a result, the roughness was improved with increasing the quencher concentration, especially in 0.15 micrometers nested line patterns. Adding quencher was not too much effective for the larger size patterns. The acid concentration in resist was increased by adding quencher, because the nominal dose became large by that. It was also indicated experimentally that generated acid concentration at pattern edge was nearly equal to that of quencher at nominal dose. The nominal dose was determined by quencher concentration. We defined effective acid concentration as remaining acid concentration after quenching. This effective acid concentration increased with increasing quencher concentration too. The roughness seemed to be generated when effective acid concentration profile was lowered. It is indicated that the resist edge roughness with reducing pattern size can be expected from its effective acid concentration profile.


Proceedings of SPIE | 2013

Novel error mode analysis method for graphoepitaxial directed self-assembly lithography based on the dissipative particle dynamics method

Katsuyoshi Kodera; Shimon Maeda; Satoshi Tanaka; Shoji Mimotogi; Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Tsukasa Azuma

Directed self-assembly lithography (DSAL), which combines self-assembling materials and a lithographically defined prepattern, is a potential candidate to extend optical lithography beyond 22 nm. To take full advantage of DSAL requires diminishing not only systematic error modes but also random error modes by carefully designing a lithographically defined prepattern and precisely adjusting process conditions. To accomplish this with satisfactory accuracy, we have proposed a novel method to evaluate DSAL error modes based on simulations using dissipative particle dynamics (DPD). We have found that we can estimate not only systematic errors but also random errors qualitatively by simulations.


Proceedings of SPIE | 2013

Dissipative particle dynamics simulations to optimize contact hole shrink process using graphoepitaxial directed self-assembly

Hironobu Sato; Hiroki Yonemitsu; Yuriko Seino; Hirokazu Kato; Masahiro Kanno; Katsutoshi Kobayashi; Ayako Kawanishi; Katsuyoshi Kodera; Tsukasa Azuma

Dissipative particle dynamics (DPD) simulations are utilized to optimize contact hole shrink process using graphoepitaxial directed self-assembly (DSA). In this work, poly (styrene-block-methyl methacrylate) (PS-b-PMMA) was employed. In the contact hole shrink process, PS residual layer was formed on the bottom floor of the hole type prepattern. To realize reliable contact hole shrink process, minimization of the thickness of PS residual layer was one of the key issues. It was found that the minimization of the thickness of the PS residual layer and optimization of threedimensional configuration of the PMMA domain was trade-off relationship. By using DPD simulations, the parameters were successfully optimized to achieve residual layer free contact hole shrink of DSA lithography.


Proceedings of SPIE | 2012

Contact hole shrink process using directed self-assembly

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hikazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

We report on a contact hole shrink process using directed self-assembly. A diblock copolymer, poly (styrene-blockmethyl methacrylate) (PS-b-PMMA), is used to shrink contact holes. Contact hole guide patterns for graphoepitaxy are formed by ArF photoresists. Cylindrical domains of PMMA is removed using organic solvents after DUV (λ <200 nm) irradiation. In this work, it is found that a solvent system is the best developer from the evaluated single solvent systems and mixed solvent systems. The wet development of PS-b-PMMA strongly depends on total exposure dose of DUV irradiation. With lower exposure dose, the cylindrical domains of PMMA are not clearly removed. With optimum exposure dose, PMMA is developed clearly. The contact hole guide patterns of 75 nm in diameter are successfully shrunk to 20 nm in diameter using the wet development process.


Journal of Vacuum Science & Technology B | 2004

Mechanism of ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy measurement

Tsukasa Azuma; Kenji Chiba; Hideaki Abe; Hiroshi Motoki; Noriaki Sasaki

ArF resist-pattern shrinkage in critical-dimension scanning electron microscopy (CD-SEM) measurement is investigated, and its mechanism is discussed. In CD-SEM irradiation damage in the resist detected by Fourier transform infrared (FTIR) spectroscopy, we propose that C=O units of pendant polymer chains decompose COOH units at the first stage and that the COOH units finally decompose CO2 outgas at the second stage. We propose the mechanism of the ArF resist-pattern shrinkage in the CD-SEM measurement from experimental and simulation results of the FTIR spectroscopy and Monte Carlo simulation of electron scattering trajectories into the resist. The first resist polymer free volume shrinkage is caused by hydrogen bonds between the COOH units, as well as decomposition of dissolution inhibitor groups. The second resist polymer free volume shrinkage is caused by the CO2 outgas within 4–14 nm in depth from the resist surface depending on the CD-SEM acceleration voltages of 400 and 800 V, respectively, with prob...


Proceedings of SPIE | 2015

Directed self-assembly lithography using coordinated line epitaxy (COOL) process

Yuriko Seino; Yusuke Kasahara; Hironobu Sato; Katsutoshi Kobayashi; Hitoshi Kubota; Shinya Minegishi; Ken Miyagi; Hideki Kanai; Katsuyoshi Kodera; Naoko Kihara; Yoshiaki Kawamonzen; Toshikatsu Tobana; Masayuki Shiraishi; Satoshi Nomura; Tsukasa Azuma

In this study, half-pitch (HP) 15 nm line-and-space (L/S) metal wires were successfully fabricated and fully integrated on a 300 mm wafer by applying directed self-assembly (DSA) lithography and pattern transfer for semiconductor device manufacturing. In order to evaluate process performances of DSA, we developed a simple sub-15 nm L/S patterning process using polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) lamellar block copolymer (BCP), which utilizes trimming resist and shallow etching spin-on-glass (SOG) as pinning guide[1]-[4]. From the results of defect inspection after SOG etch using Electron Beam (EB) inspection system, defects were classified as typical DSA defects or defects relating to DSA pattern transfer. From the evaluation of DSA L/S pattern Critical Dimension (CD), roughness and local placement error using CD-SEM, it is considered that isolated PS lines are placed at the centerline between guides and that placement of paired PS lines depends on the guide width. The control of the guide resist CD is the key to local placement error and the paired lines adjacent to the guide shifted toward the outside (0.5 nm) along the centerline of the isolated line after SOG etch. We demonstrated fabrication of HP 15 nm metal wires in trenches formed by the DSA process with reactive ion etching (RIE), followed by metal chemical vapor deposition (CVD) and chemical mechanical polishing (CMP). By SEM observation of alignment errors between the trenches and connect spaces, overlay shift patterns (-4 nm) in guide lithography mask were fabricated without intra-wafer alignment errors.


Advances in Resist Technology and Processing XVII | 2000

Line-edge roughness of chemically amplified resists

Tsukasa Azuma; Kenji Chiba; Maki Imabeppu; Daisuke Kawamura; Yasunobu Onishi

Aerial image contrast dependence of line edge roughness (LER) in 130 nm equal line and space resist patterns was investigated using chemically amplified resists on organic bottom antireflective coatings both for KrF imaging and for ArF imaging. The chemically amplified ArF resist exhibiting high transparency both at 248 nm and at 193 nm was found to resolve 130 nm equal line and space resist patterns both on the KrF imaging systems and on the ArF imaging system using an identical binary mask set. Average roughness measurement data derived from top-down scanning electron microscopic images of the 130 nm equal line and space resist patterns indicated that the LER decreased with increasing the aerial image contrast, varying with the wavelengths of illumination light sources and the illumination conditions. It was, however, found that the LER in the chemically amplified resist optimized for the ArF imaging system was 1.9 - 2.3 times larger than those in the chemically amplified resists optimized for the KrF imaging systems, in spite of the fact that the aerial image contrast of the ArF imaging system was 1.5 - 2.5 times higher than those of the KrF imaging systems.


Journal of Vacuum Science & Technology B | 1997

Viability of conventional KrF imaging for 150 nm lithography

Tsukasa Azuma; K. Matsunaga; Daisuke Kawamura; S. Mimotogi; Yasunobu Onishi

Viability of conventional KrF imaging featuring a numerical aperture of 0.6 for 150 nm lithography is investigated by applying a novel process to reduce resist thickness down to around 100 nm. Both simulation data of aerial image contrast and resist development are applied to understand a degradation model of clear process window predicted by the aerial image contrast calculations. Comparing simulation results of the process windows’ dependencies on the resist thickness, which are derived from combinations of aerial image contrast and resist development calculations, experimental results respecting the process windows’ dependencies on the resist thickness are then discussed to elucidate how the aerial image contrast could be degraded. It is found that the minimum requirements of the process windows of 150 nm equal lines and spaces for the early stage of 1 Gbit dynamic random access memory development could narrowly be guaranteed in the case of the thickness range of less than 300 nm, and also that a great advance in the process window would be obtained when using an unconventional imaging system with 2/3 annular aperture. Further improvement of the degraded resist profiles is demonstrated in order to obtain a more stable pattern fabrication process.


International Journal of Pressure Vessels and Piping | 1994

Isothermal aging test results (up to 100 000 h) of NiCrMoV steels for low-pressure steam turbine

Yasuhiko Tanaka; Tsukasa Azuma; Noriaki Yaegashi

Isothermal aging test of NiCrMoV rotor steel was carried out up to 100 000 h and change in the Charpy transition behavior was investigated. The test result revealed considerable embrittlement of the steels tested. Even at 343°C, the embrittlement of around 100°C was observed and higher temperature enhanced the embrittlement significantly. This embrittlement behavior strongly depends on the impurity contents of the materials and temper embrittlement parameter J factor or X well characterize the temper embrittlement susceptibility. Based on these test results, the amount of the temper embrittlement can be estimated from the information of chemistry. A correlation between the step cooling embrittlement and 100 000 h embrittlement was also found.

Collaboration


Dive into the Tsukasa Azuma's collaboration.

Researchain Logo
Decentralizing Knowledge