Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masaki Yoshizawa is active.

Publication


Featured researches published by Masaki Yoshizawa.


Journal of Vacuum Science & Technology B | 2002

Study of the acid-diffusion effect on line edge roughness using the edge roughness evaluation method

Masaki Yoshizawa; Shigeru Moriya

The acid-diffusion effect on line edge roughness (LER) in a negative-type chemically amplified resist of electron beam lithography was evaluated experimentally. The edge roughness evaluation method was utilized to quantify the acid-diffusion length. Adjusting the prebaking temperature controlled the acid-diffusion coefficient in the range from 8.1 nm2/s at 70 °C to 0.8 nm2/s at 130 °C. Acid diffusion reduced the LER of a 100 nm isolated line from 6.9 to 4.0 nm while increasing the diffusion length from 15 to 44 nm, but a too-long diffusion length degraded line and space patterns. These results suggest that acid diffusion has two opposing effects on LER: one is the smoothing effect reducing LER and the other is the degrading image contrast effect increasing LER. It is concluded that LER occurs as a consequence of the amplification of the so-called uncertainty band by the slope of the quasibeam profile. The bandwidth signifies the effect of molecular structure, the spatial dispersion of photochemical events...


Journal of Vacuum Science & Technology B | 2000

Quantitative factor analysis of resolution limit in electron beam lithography using the edge roughness evaluation method

Masaki Yoshizawa; Shigeru Moriya

Various factors influencing the resolution limit (RL) in electron beam (EB) lithography have been analyzed quantitatively using the edge roughness evaluation (ERE) method. The ERE method is based on the experimental finding that line edge roughness of a resist pattern is inversely proportional to the slope of the Gaussian-distributed quasi-beam-profile (QBP). The analysis reveals that beam blur and development process are primary factors of RL, that electron forward scattering is negligible with the resist thickness under 200 nm, and that the effect of aperture degradation on RL is as large as that of resist performance. A necessary and sufficient condition for realizing 50 nm patterns is following. Use of EB lithography instruments of which beam blur is under 31 nm, resist thickness of 200 nm, and 20% improvement of the resist performance accompanying optimum development condition.


Journal of Vacuum Science & Technology B | 2001

Comparative study of resolution limiting factors in electron beam lithography using the edge roughness evaluation method

Masaki Yoshizawa; Shigeru Moriya

Resolution capabilities of a Nikon 100 kV experimental column of electron beam (EB) projection lithography, a proof of concept column of low energy electron beam proximity projection lithography (LEEPL), and a variable-shaped EB direct writer were compared using a negative-type chemically amplified resist. Line edge roughness and resolution limit of an isolated line were 2.8 and 40 nm for the Nikon experimental column, and were 4.9 and 52 nm for the EB direct writer at the resist thickness of 250 nm, while they were 10.7 and 61 nm for LEEPL, and were 9.6 and 57 nm for the EB direct writer at the resist thickness of 70 nm. Quantitative influences of resolution limiting factors such as electron-optical beam blur and resist performance were analyzed using the edge roughness evaluation method. The analysis shows that the Nikon experimental column has an ability to resolve 35 nm patterns if resolution performance of the resist is 10% improved. In the high-throughput EB stepper system with a large beam current,...


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Edge roughness evaluation method for quantifying at-size beam blur in electron beam lithography

Masaki Yoshizawa; Shigeru Moriya

At-size beam blur at any given pattern size of an electron beam (EB) direct writer, HL800D, was quantified using the new edge roughness evaluation (ERE) method to optimize the electron-optical system. We characterized the two-dimensional beam-blur dependence on the electron deflection length of the EB direct writer. The results indicate that the beam blur ranged from 45 nm to 56 nm in a deflection field 2520 micrometer square. The new ERE method is based on the experimental finding that line edge roughness of a resist pattern is inversely proportional to the slope of the Gaussian-distributed quasi-beam-profile (QBP) proposed in this paper. The QBP includes effects of the beam blur, electron forward scattering, acid diffusion in chemically amplified resist (CAR), the development process, and aperture mask quality. The application the ERE method to investigating the beam-blur fluctuation demonstrates the validity of the ERE method in characterizing the electron-optical column conditions of EB projections such as SCALPEL and PREVAIL.


Optical Microlithography XVII | 2004

Lithography of choice for the 45-nm node: new medium, new wavelength, or new beam?

Fumikatsu Uesawa; Mikio Katsumata; Kazuhisa Ogawa; Koichi Takeuchi; Shinji Omori; Masaki Yoshizawa; Hiroichi Kawahira

In order to clarify the direction of the lithography for the 45 nm node, the feasibilities of various lithographic techniques for gate, metal, and contact layers are studied by using experimental data and aerial image simulations. The focus and exposure budget have been determined from the actual data and the realistic estimation such as the focus distributions across a wafer measured by the phase shift focus monitor (PSFM), the focus and exposure reproducibility of the latest exposure tools, and the anticipated 45 nm device topography, etc. 193 nm lithography with a numerical aperture (NA) of 0.93 achieves the half pitch of 70 nm (hp70) by using an attenuated phase shift mask (att-PSM) and annular illumination. 193 nm immersion lithography has the possibility to achieve the hp60 without an alternative PSM (alt-PSM). For a gate layer, 50-nm/130-nm line-and-space (L/S) patterns as well as 50 nm isolated lines can be fabricated by an alt-PSM. Although specific aberrations degrade the critical dimension (CD) variation of an alt-PSM, ±2.6 nm CD uniformity (CDU) is demonstrated by choosing the well-controlled projection lens and using a high flatness wafer. For a contact layers, printing 90 nm contacts is very critical by optical lithography even if the aggressive resolution enhancement technique (RET) is used. Especially for dense contact, the mask error factor (MEF) increases to around 10 and practical process margin is not available at all. On the other hand, low-energy electron-beam proximity-projection lithography (LEEPL) can fabricate 80 nm contact with large process margin. As a lithography tool for the contact layers of the 45 nm node devices, LEEPL is expected to replace 193 nm lithography.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Compensation of high-NA mask topography effects by using object modified Kirchhoff model for 65 and 45nm nodes

Yuri Aksenov; Peter Zandbergen; Masaki Yoshizawa

ArF immersion lithography has opened the road towards increased optical resolution at the 193nm wavelength. Consequently, keeping the same 4X optical demagnification factor, the dimensions on the mask scale down to sub-wavelength values when we enter the 45nm node. At such dimensions, mask topography, mask type and materials as well as the polarization state of the light will influence the diffraction spectrum of a layout. As a result the image from high NA lithographic systems depends on the polarization state and intensities of the interfering orders. In general, with smaller features on the mask stronger polarization changes occur. Apart from the polarization changes in diffraction orders the total intensity in a diffraction order is also different from that predicted by standard scalar-Kirchhoff diffraction approximation used in present OPC packages. The difference in intensities of diffraction orders due to different mask materials and topography is the more dominating factor leading to through pitch CD errors when the scalar-Kirchhoff model is used for layout adjustment. Based on findings and classification of topography induced effects, a deviation-driver from scalar diffraction model was identified. This paper discusses a solution to compensate for topography effects while using the scalar diffraction model for reticle treatment. The area of applicability of such a scalar model, its advantages and limitations are illustrated with simulations and experiments.


Photomask and Next-Generation Lithography Mask Technology XII | 2005

Impact of the absorber thickness variation on the imaging performance of ArF immersion lithography

Masaki Yoshizawa; Vicky Philipsen; Leonardus Leunissen

As the feature sizes on the wafer continue to shrink, the dimensions on the mask also scale down, which makes the mask topography more important. The impact of the absorber thickness of a Binary Intensity Mask (BIM) and an Alternating Aperture Phase-Shifting Mask (AAPSM) on the imaging performance of ArF lithography is investigated by using a rigorous electro-magnetic field simulator, an NA0.85 immersion scanner, and an NA0.93 conventional scanner. The simulation at NA0.85 dipole illumination for BIM shows that the mask-error enhancement factor (MEEF) for 130-nm-pitch lines and spaces increases to 3.1 from 2.5 by reducing the absorber thickness from 104 nm to 56 nm. Lower aerial-image contrast at the thinner absorber, which causes the larger MEEF, is attributed to the lower interference efficiency due to increase of transverse-magnetic component and decrease of the intensity balance between 0th- and 1st-order diffracted light. In AAPSM, the image-placement errors caused by intensity imbalance between 0 and π phase-shifting spaces are influenced by the absorber thickness. It was demonstrated by the increase of dose sensitivity from 5.2 to 6.5 nm/(mJ/cm2) and line edge roughness increase from 7.1 to 8.2 nm of 150-nm-pitch lines and spaces made by using immersion process that thinning the BIM absorber from 103 to 59 nm degraded the aerial image of NA0.85 annular illumination. The conventional dry exposures by using NA0.93 dipole lithography supported the image degradation due to thinning the BIM absorber by showing that the line edge roughness of 125-nm-pitch lines and spaces increased by 1.2 nm using un-polarized illumination. The aerial-image contrast of the thicker absorber keeps better up to NA1.40, which suggests that we need to balance the merits and demerits of using the thinner absorber by giving attention to the mask topography effects.


Emerging Lithographic Technologies IX | 2005

BEOL process technology based on proximity electron lithography: demonstration of the via-chain yield comparable with ArF lithography

Shinichiro Nohdo; Shinji Omori; Kazuya Iwase; Masaki Yoshizawa; Tomonori Motohashi; Kumiko Oguni; K. Nakayama; H. Egawa; T. Takeda; T. Morikawa; Shoji Nohama; Hiroyuki Nakano; Tetsuya Kitagawa; Shigeru Moriya; Hiroichi Kawahira

Proximity electron lithography (PEL) using the ultra-thin tri-layer resist system has been successfully integrated in our dual-damascene Cu/low-k interconnects technology for the 90-nm node. Critical comparison between conventional ArF lithography and PEL as to the via-chain yield for test element groups (TEGs) including approximately 2.9 million via chains was performed to demonstrate its production feasibility.


international microprocesses and nanotechnology conference | 2003

The impact of latent image quality on line edge roughness in electron beam lithography

Masaki Yoshizawa; Shigeru Moriya; Hiroyuki Nakano; T. Morita; T. Kitagawa; Yasuyuki Miyamoto

In this paper, LER of resist patterns is investigated using various EB lithography. LER strongly depended on latent image quality.


Journal of Vacuum Science & Technology B | 2004

Resolution-limiting factors in low-energy electron-beam proximity projection lithography: Mask, projection, and resist process

Masaki Yoshizawa; Kumiko Oguni; Hiroyuki Nakano; Keiko Amai; Shoji Nohama; Shigeru Moriya; Tetsuya Kitagawa

The resolution-limiting factors in low-energy electron-beam proximity projection lithography were analyzed quantitatively using the blur of a Gaussian-shaped latent image (σQBP) as the resolution index. σQBP is the square root of the sum of squares of the factors, such as electron scattering and resolution performance of resist. The resolution limit of 45 nm for isolated patterns and the resolution of 70 nm for practically used periodic patterns with 10% exposure latitude were achieved at σQBP of 49 nm. Eliminating a crossover in the electron optics decreased the factor depending on the gap between a mask and a wafer to 19 nm at a 40 μm gap. Because of the intensive studies on multilayer processes, the factor attributed to the resolution performance of thin resist dropped from 58 to 26 nm. Reduction in the blur due to electron scattering, 34 nm in the case of a 70-nm-thick resist film and 2 keV electrons, must be considered for the 45 nm technology node.

Collaboration


Dive into the Masaki Yoshizawa's collaboration.

Top Co-Authors

Avatar

Yasuyuki Miyamoto

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

H. Niu

Osaka Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Kazuhito Furuya

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Masatoshi Kotera

Osaka Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

T. Kitagawa

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

T. Morita

Tokyo Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

H. Egawa

Sony Computer Entertainment

View shared research outputs
Top Co-Authors

Avatar

T. Morikawa

Sony Computer Entertainment

View shared research outputs
Researchain Logo
Decentralizing Knowledge