Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masato Naka is active.

Publication


Featured researches published by Masato Naka.


SPIE Photomask Technology | 2011

Performance of EBeyeM for EUV Mask Inspection

Shinji Yamaguchi; Masato Naka; Takashi Hirano; Masamitsu Itoh; Motoki Kadowaki; Tooru Koike; Yuichiro Yamazaki; Kenji Terao; Masahiro Hatakeyama; Kenji Watanabe; Hiroshi Sobukawa; Takeshi Murakami; Tsutomu Karimata; Kiwamu Tsukamoto; Takehide Hayashi; Ryo Tajima; Norio Kimura; Naoya Hayashi

According to the ITRS Roadmap, the EUV mask requirement for 2X nm technology node is detection of defect size of 20 nm. The history of optical mask inspection tools involves continuous efforts to realize higher resolution and higher throughput. In terms of productivity, considering resolution, throughput and cost, we studied the capability of EUV light inspection and Electron Beam (EB) inspection, using Scanning Electron Microscope (SEM), including prolongation of the conventional optical inspection. As a result of our study, the solution we propose is EB inspection using Projection Electron Microscope (PEM) technique and an image acquisition technique to acquire inspection images with Time Delay Integration (TDI) sensor while the stage is continually moving. We have developed an EUV mask inspection tool, EBeyeM, whole design concept includes these techniques. EBeyeM for 2X nm technology node has the following targets, for inspection sensitivity, defects whose size is 20 nm must be detected and, for throughput, inspection time for particle and pattern inspection mode must be less than 2 hours and 13 hours in 100 mm square, respectively. Performance of the proto-type EBeyeM was reported. EBeyeM for 2X nm technology node was remodeled in light of the correlation between Signal to Noise Ratio (SNR) and defect sensitivity for the proto-type EBeyeM. The principal remodeling points were increase of the number of incident electrons to TDI sensor by increasing beam current for illuminating optics and realization of smaller pixel size for imaging optics. This report presents the performance of the remodeled EBeyeM (=EBeyeM for 2X nm) and compares it with that of the proto-type EBeyeM. Performances of image quality, inspection sensitivity and throughput reveal that the EBeyeM for 2X nm is improved. The current performance of the EBeyeM for 2X nm is inspection sensitivity of 20 nm order for both pattern and particle inspection mode, and throughput is 2 hours in 100 mm square for particle inspection mode.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Development of EB inspection system EBeyeM for EUV mask

Takashi Hirano; Shinji Yamaguchi; Masato Naka; Masamitsu Itoh; Motoki Kadowaki; Tooru Koike; Yuuichiro Yamazaki; Kenji Terao; Masahiro Hatakeyama; Hiroshi Sobukawa; Takeshi Murakami; Kiwamu Tsukamoto; Takehide Hayashi; Kenji Watanabe; Norio Kimura; Naoya Hayashi

We are developing new electron beam inspection system, named EBeyeM, which features high speed and high resolution inspection for EUV mask. Because EBeyeM has the projection electron microscope technique, the scan time of EBeyeM is much faster than that of conventional SEM inspection system. We developed prototype of EBeyeM. The aim of prototype system is to prove the concept of EBeyeM and to estimate the specification of system for 2Xnm and 1Xnm EUV mask. In this paper, we describe outline of EBeyeM and performance results of the prototype system. This system has two inspection mode. One is particle inspection and the other is pattern defect inspection. As to the sensitivity of EBeyeM prototype system, the development target is 30nm for the particle inspection mode and 50nm for pattern defect inspection mode. The performance of this system was evaluated. We confirmed the particle inspection mode of the prototype system could detect 30nm PSL(Polystyrene Latex) and the sensitivity was much higher than conventional optical blank inspection system. And we confirmed that the pattern defect sensitivity of the prototype system was around 45nm. It was recognized that both particle inspection mode and pattern defect inspection mode met the development target. It was estimated by the performance results of the prototype system that the specification of EBeyeM would be able to achieve for 2Xnm EUV mask. As to 1Xnm EUV mask, we are considering tool concept to meet the specification.


Photomask and Next-Generation Lithography Mask Technology XX | 2013

Improvement of EUVL mask structure with black border of etched multilayer

Kosuke Takai; Koji Murano; Eiji Yamanaka; Shinji Yamaguchi; Masato Naka; Takashi Kamo; Naoya Hayashi

For EUVL mask with thinner absorber, it is necessary to make black border area in order to suppress the leakage of the EUV light from the adjacent exposure shots Black border of etched multilayer is promising structure in terms of light-shield capability and mask process simplicity. However, EUVL masks with this structure do not have electrical conductivity between the inside and the outside of black border. Inspection area including device patterns belongs to the inside of the black border. In case that quality check for EUVL masks is performed with E-beam inspection, the area is floating. As a result, electrification to mask pattern occurs and causes degradation of E-beam inspection accuracy when the mask is inspected by E-beam inspection tool. In this paper, we refine EUVL mask structure with black border of etched multilayer in order to improve electrical conductivity. We will show evaluation results of E-beam inspection accuracy, and discuss specifications of electrically conductive black border area.


Photomask Technology 2012 | 2012

Capability of model EBEYE M for EUV mask production

Masato Naka; Shinji Yamaguchi; Motoki Kadowaki; Toru Koike; Takashi Hirano; Masamitsu Itoh; Yuichiro Yamazaki; Kenji Terao; Masahiro Hatakeyama; Kenji Watanabe; Hiroshi Sobukawa; Takeshi Murakami; Kiwamu Tsukamoto; Takehide Hayashi; Ryo Tajima; Norio Kimura; Naoya Hayashi

According to the ITRS Roadmap [1], within a few years the EUV mask requirement for defect will be detection of defect size of less than 25 nm. Electron Beam (EB) inspection is one of the candidates to meet such a severe defect requirement. EB inspection system, Model EBEYE M※1, has been developed for EUV mask inspection. Model EBEYE M employs Projection Electron Microscope (PEM) technique and image acquisition technique to acquire image with Time Delay Integration (TDI) sensor while the stage moves continuously [2]. Therefore, Model EBEYE M has high performance in terms of sensitivity, throughput and cost. In a previous study, we showed the performance of Model EBEYE M for 2X nm in a development phase whose sensitivity in pattern inspection was around 20 nm and in particle inspection was 20 nm with throughput of 2 hours in 100 mm square [3], [4]. With regard to pattern inspection, Model EBEYE M for High Volume Manufacturing (HVM) is currently under development in the production phase. With regard to particle inspection, Model EBEYE M for 2X nm is currently progressing from the development phase to the production phase. In this paper, the particle inspection performance of Model EBEYE M for 2X nm in the production phase was evaluated. Capture rate and repeatability were used for evaluating productivity. The target set was 100% capture rate of 20 nm. 100% repeatability of 20 nm with 3 inspection runs was also set as a target. Moreover, throughput of 1 hour in 100 mm square, which was higher than for Model EBEYE M for 2X nm in the development phase, was set as a target. To meet these targets, electron optical conditions were optimized by evaluating the Signal-to-Noise Ratio (SNR). As a result, SNR of 30 nm PSL was improved 2.5 times. And the capture rate of 20 nm was improved from 21% with throughput of 2 hours to 100% with throughput of 1 hour. Moreover, the repeatability of 20 nm with 3 inspection runs was 100% with throughput of 1 hour. From these results, we confirmed that Model EBEYE M particle inspection mode could be available for EUV mask production.


Photomask Technology 2014 | 2014

Capability of particle inspection on patterned EUV mask using model EBEYE M

Masato Naka; Ryoji Yoshikawa; Shinji Yamaguchi; Takashi Hirano; Masamitsu Itoh; Kenji Terao; Masahiro Hatakeyama; Kenji Watanabe; Hiroshi Sobukawa; Takeshi Murakami; Kiwamu Tsukamoto; Takehide Hayashi; Ryo Tajima; Norio Kimura; Naoya Hayashi

According to the road map shown in ITRS [1], the EUV mask requirement for defect inspection is to detect the defect size of sub- 20 nm in the near future. EB (Electron Beam) inspection with high resolution is one of the promising candidates to meet such severe defect inspection requirements. However, conventional EB inspection using the SEM method has the problem of low throughput. Therefore, we have developed an EB inspection tool, named Model EBEYE M※. The tool has the PEM (Projection Electron Microscope) technique and the image acquisition technique with TDI (Time Delay Integration) sensor while moving the stage continuously to achieve high throughput [2]. In our previous study, we showed the performance of the tool applied for the half pitch (hp) 2X nm node in a production phase for particle inspection on an EUV blank. In the study, the sensitivity of 20 nm with capture rate of 100 % and the throughput of 1 hour per 100 mm square were achieved, which was higher than the conventional optical inspection tool for EUV mask inspection [3]-[5]. Such particle inspection is called for not only on the EUV blank but also on the patterned EUV mask. It is required after defect repair and final cleaning for EUV mask fabrication. Moreover, it is useful as a particle monitoring tool between a certain numbers of exposures for wafer fabrication because EUV pellicle has not been ready yet. However, since the patterned EUV mask consists of 3D structure, it is more difficult than that on the EUV blank. In this paper, we evaluated that the particle inspection on the EUV blank using the tool which was applied for the patterned EUV mask. Moreover, the capability of the particle inspection on the patterned EUV mask for the hp 2X nm node, whose target is 25 nm of the sensitivity, was confirmed. As a result, the inspection and SEM review results of the patterned EUV masks revealed that the sensitivity of the hp 100 nm Line/Space (LS) was 25 nm and that of the hp 140- 160 nm Contact Hole (CH) was 21 nm. Therefore, we confirmed that particle inspection on the patterned EUV mask using Model EBEYE M could be available for the EUV mask of the hp 2X nm node. In the future, we will try to inspect the production mask of the hp 2X nm node, and try to confirm the performance for the EUV mask of the hp 1X nm node.


Photomask and Next-Generation Lithography Mask Technology XIX | 2012

Defect management of EUV mask

Takashi Kamo; Koji Murano; Kosuke Takai; Kazuki Hagihara; Shinji Yamaguchi; Masato Naka; Keiko Morishita; Ryoji Yoshikawa; Masamitsu Itoh; Suigen Kyoh; Naoya Hayashi

Extreme Ultraviolet Lithography (EUVL) is a promising technology for the fabrication of ULSI devices with 20nm half-pitch node. One of the key challenges before EUVL is to achieve defect-free masks. There are three main categories of mask defects: multilayer defects which cause phase defects, absorber pattern defects, and particles during blank/mask fabrication or mask handling after mask fabrication. It is important to manage multilayer defect because small multilayer defects are difficult to be identified by SEM/AFM after mask patterning and can impact wafer printing. In this paper, we assess blank defect position error detected by 3rd generation blank inspection tool, using blank defect information from blank supplier and 199nm wavelength patterned mask inspection tool NPI-7000. And we rank blank defect in the order of projection defect size to multilayer in order to estimate blank defect printability. This method avoids overestimating the number of potential killer defects that hardly be identified by SEM/AFM under the condition that EUV-AIMS is not available.


Proceedings of SPIE, the International Society for Optical Engineering | 2009

Mask defect specification in the spacer patterning process by using a fail-bit-map analysis

Seiro Miyoshi; Shinji Yamaguchi; Masato Naka; Keiko Morishita; Takashi Hirano; Hiroyuki Morinaga; Hiromitsu Mashita; Ayumi Kobiki; Makoto Kaneko; Hidefumi Mukai; Minori Kajimoto; Takashi Sugihara; Yoshiyuki Horii; Yoshihiro Yanai; Tadahito Fujisawa; Kohji Hashimoto; Soichi Inoue

We obtained the acceptable mask defect size for both opaque and clear defects in the spacer patterning process using the fail-bit-map analysis and a mask with programmed defects. The spacer patterning process consists of the development of photoresist film, the etching of the core film using the photoresist pattern as the etching mask, the deposition of a spacer film on both sides of the core film pattern, and the removal of the core film. The pattern pitch of the spacer film becomes half that of the photoresist. Both the opaque defect and the clear defect of the mask resulted in a short defect in the spacer pattern. From the fail-bit-map analysis, the acceptable mask defect size for opaque and clear defects was found to be 80nm and 120nm, respectively, which could be relaxed from that in ITRS2008. The difference of the acceptable mask defect size for opaque and clear defects comes from the difference of the defect printability at the resist development.


Photomask Technology | 2017

DUV inspection beyond optical resolution limit for EUV mask of hp 1X nm

Masato Naka; Akihiko Ando; Keiko Morishita; Ryoji Yoshikawa; Takashi Kamo; Takashi Hirano; Masamitsu Itoh

It is generally said that conventional deep ultraviolet inspection tools have difficulty meeting the defect requirement for extreme ultraviolet masks of hp 1X nm. In previous studies, it has been shown that the newly developed optics and systems using deep ultraviolet, named Super Inspection Resolution Improvement method for UnreSolved pattern (SIRIUS), has high sensitivity for nanoimprint lithography templates with unresolved patterns which are the same scale as the wafer. In this paper, the capability of SIRIUS for the extreme ultraviolet mask of hp 1X nm lines and spaces pattern has been studied by evaluating the signal to noise ratio of inspection images and capture rates with 5 runs to the target defects which cause over 10% printed wafer critical dimension errors calculated by simulation. It was demonstrated that the signal to noise ratio was increased and the all target defects became detectable with the throughput of 120 min per 100 × 100 mm2 . Additionally, the printability of natural defects detected with SIRIUS was analyzed. It was confirmed that SIRIUS was able to detect natural defects under 10% of wafer critical dimension. In conclusion, we confirm that SIRIUS can be available for the extreme ultraviolet mask inspection of hp 1X nm lines and spaces pattern.


Photomask and Next-Generation Lithography Mask Technology XVIII | 2011

Defect printability of advanced binary film photomask

Masato Naka; Shinji Yamaguchi; Keiko Morishita; Shingo Kanamitsu; Ryoji Yoshikawa; Hiromitsu Mashita; Takashi Hirano

Based on an acceptable wafer critical dimension (CD) variation that takes device performance into consideration, we presented a methodology for deriving an acceptable mask defect size using defect printability [1]-[3]. The defect printability is measurable by Aerial Image Measurement System (AIMSTM) and simulated by lithography simulation without exposure. However, the defect printability of these tools is not always the same as the actual one. Therefore, the accuracy of these tools is confirmed by fabricating the programmed defect mask and exposing this mask on wafer. Advanced Binary Film (ABF) photomask has recently been studied as a substitute for the conventional MoSi phase shift mask. For ABF photomask fabrication, mask performance for process and guarantee for mask defects by repair and inspection are important. With regard to the mask performance, the ABF photomask has high performance in terms of resolution of pattern making, placement accuracy, and cleaning durability [4]. With regard to the guarantee for mask defects, it has already been confirmed that the defect on the ABF photomask is repairable for both clear and opaque defects. However, it has not been evaluated for inspection yet. Therefore, it is necessary to evaluate the defect printability, to derive the acceptable mask defect size, and to confirm the sensitivity of mask inspection tool. In this paper, the defect printability of the ABF photomask was investigated by the following process. Firstly, for opaque and clear defects, sizes and locations were designed as parameters for memory cell patterns. Secondly, the ABF programmed defect mask was fabricated and exposed. Thirdly, mask defect sizes on the ABF programmed defect mask and line CD variations on the exposed wafer were measured with CD-SEM. Finally, the defect printability was evaluated by comparing the correlation between the mask defect sizes and the wafer line CD variations with that of the AIMSTM and the lithography simulation. From these results, the defect printability of AIMSTM was almost the same as the actual one. On the other hand, the defect printability of the lithography simulation was relaxed from the actual one for the isolated defect types for both clear and opaque defects, though the defect printability for the edge defect types was almost the same. Additionally, the acceptable mask defect size based on the actual defect printability was derived and the sensitivity of the mask inspection tool (NPI-7000) was evaluated. Consequently, the sensitivity of the NPI-7000 was detectable for the derived acceptable mask defect size. Therefore, it was confirmed that the ABF photomask could be guaranteed for mask defects.


Archive | 2012

Mask inspection apparatus and mask inspection method

Shinji Yamaguchi; Masato Naka; Hiroyuki Kashiwagi; Masamitsu Itoh

Collaboration


Dive into the Masato Naka's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge