Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Michael Sebald is active.

Publication


Featured researches published by Michael Sebald.


Journal of Micro-nanolithography Mems and Moems | 2005

New stochastic post-exposure bake simulation method

Thomas Mülders; Wolfgang Henke; Klaus Elian; Christoph Nölscher; Michael Sebald

A new method for simulating the post-exposure bake (PEB) of optical lithography is presented and applied to modeling the reaction-diffusion processes in a chemically amplified resist (CAR). The new approach is based on a mesoscopic description of the photoresist, taking into account the discrete nature of resist molecules and inhibitor groups that are attached to the resist polymers, but neglecting molecular details on an atomistic (microscopic) level. As a result, the time- and space-dependent statistical fluctuations of resist particle numbers, the correlations among them, and their effect on the printing result can be accounted for. The less molecules that are present in the volume of interest, the more important these fluctuations and correlations will become. This is the case for more and more shrinking critical dimensions (CD) of the lithographic structures but unchanged molecular sizes of the relevant resist species. In particular, the new PEB simulation method allows us to predict the behavior of statistical defects of the printed lithographic structures, which may strongly contribute to printing features like line edge roughness (LER).


Microelectronic Engineering | 2000

Acid diffusion analysis in the chemically amplified CARL resist

E. Richter; Stefan Hien; Michael Sebald

The demand for smaller device dimensions in microlithography drives the need to understand and control diffusion during photoresist processing. In advanced chemically amplified systems the lithographic performance is strongly influenced by diffusion of acid and base additives. Diffusion parameters and photoacid generation efficiencies were quantitatively evaluated using an established in situ photometric method employing a pH-sensitive organic dye[1,2]. This method does not require expensive inspection tools and allows estimations of the lithographic performance aside the production environment. A kinetic model for the post-exposure bake (PEB) has been proposed and transferred to transport properties. The experimental data for this model have been obtained from UV/VIS spectroscopy measurements. Rough estimations of effective diffusion lengths are based on molecular reaction dynamics. The influence of the process conditions are discussed in greater detail. Results are used to improve the lithographic performance of the dual-wavelength CARL^(R) [3] resist system presently evaluated at Infineon Technologies.


Journal of Micro-nanolithography Mems and Moems | 2005

Surface roughness investigation of 157- and 193-nm polymer platforms using different etch conditions

Christoph Hohle; Nicole Heckmann; Michael Sebald; Matthias Markert; Nickolay Stepanenko; Francis M. Houlihan; Andrew Romano; Raj Sakamuri; David Rentkiewicz; Ralph R. Dammel

A series of different fluorinated polymer platforms used for early and current 157-nm photoresists is investigated with regard to blanket etch properties and surface roughness. Besides methacrylic-based polymers applied for 193-nm lithography, fluorine containing norbornene homopolymers, fluorinated cycloolefines, and tetrafluoroethylene (TFE) norbornene copolymers are chosen. Etch rates in different plasmas used for several applications, such as poly, SiN mask open, and selective/nonselective SiO2 etch, are determined and compared to standard 193-nm platforms currently used for DRAM manufacturing. Looking at various base resins, significant differences can be found using HBr- or Cl2-based poly etch conditions and various fluorocarbon-based oxide etch chemistries. Up to 2.4 times higher etch rates in Cl2 and the different CxFy oxide etch recipes are observed for the highly fluorinated cycloolefines and the TFE norbornenes, showing a strong correlation between fluorine content and etch rate. After stress by different etch conditions, the polymer surfaces are characterized using atomic force microscopy (AFM) and scanning electron microscopy (SEM). Surprisingly, the surface roughness of the methacrylic platforms and the norbornene base resin (determined by AFM) are found to be substantially higher than that of the highly fluorinated platforms. These results can directly be correlated to pictures obtained by optical methods (SEM).


Proceedings of SPIE | 2007

Performance of chemically amplified resists at half-pitch of 45 nm and below

Yayi Wei; Markus Bender; Wolf-Dieter Domke; Antje Laessig; Michael Sebald; Sven Trogisch; David Back

The chemically-amplified resists have been exposed by hyper-NA 193nm immersion and EUV lithography. Patterns with 45nm half-pitch and below are investigated for process windows and line-edge roughness. Although the 193nm immersion and EUV lithography have totally different optics, an overlap of the resolution capability is clearly observed around 45nm half-pitches. Both lithographic processes show comparable process windows for 45nm dense lines. The 193i resist better responds to its aerial image than that of the EUV resist. Although the EUV tool has the resolution capability down to 20nm half-pitch, immature resist process limits the current resolution to 35nm half-pitch.


Journal of Micro-nanolithography Mems and Moems | 2006

Evaluation of 193-nm immersion resist without topcoat

Yayi Wei; Nickolay Stepanenko; Antje Laessig; Lars Voelkel; Michael Sebald

A production-preferred solution is 193-nm immersion resist without a topcoat. The challenge of 193-nm immersion resist is both low leaching level and high performance. We summarize the screening results of selected 193-nm immersion resists that are designed for use without top coatings. Our evaluation is divided into several phases. Leaching levels of resist samples are first tested. The leaching data are analyzed and compared to our specifications. Both binary intensity mask and alternating phase-shift mask exposures are performed to evaluate the process window, lineedge roughness, and resist pattern profile. Resist films are rinsed by deionized (DI) water prior to or after exposure, and contrast curves are measured to investigate the resist sensitivity change. The results are compared with resist systems that use developer-soluble topcoats.


Advances in Resist Technology and Processing XXI | 2004

Recent advances in fluorinated resists for application at 157 nm

Francis M. Houlihan; Raj Sakamuri; Andrew R. Romano; David Rentkiewicz; Ralph R. Dammel; Willard E. Conley; Daniel Miller; Michael Sebald; Nickolay Stepanenko; Matthias Markert; Uta Mierau; Inge Vermeir; Christoph Hohle; Toshiro Itani; Masato Shigematsu; Etsurou Kawaguchi

This paper is part of our continuing work on a new generation of more transparent, 157 nm resist platforms, which are based upon capping of fluoroalcohol-substituted, transparent perfluorinated resins (TFR) with a tert-butoxycarbonylmethyl (BOCME) moiety. Recent results indicate that by optimizing both resin structure and loading of photoacid generator and base additive a good compromise can be achieved between resolution power, dark erosion resistance, sensitivity and transparency at 157 nm. Specifically, it was found that a decrease in PAG (50% nominal loading) and base loading (75% nominal loading), coupled with optimization of the TFR resins to achieve higher transparency, gives the best compromise of properties. In this manner, resist systems with a transparency as low as 0.87 AU/micron were designed capable of resolving 60 nm 1:1 features, at a dose of 92 mJ/cm2 (non corrected for sigma), using a strong phase shift mask, and a sigma of 0.3 on a Exitech 157 nm small field mini-stepper. This type of resist material has also been imaged with a larger field tool (DUV30 Micrascan VII) to give 80 nm 1.1.5 L/S features at a dose of 135 mJ/cm2 employing using a Binary mask (σ=0.85). Finally, it was found that our BOCME-TFR based resist system can be used to transfer a 120 nm L/S pattern (imaged by 193 nm lithography) into a hardmask stack on top of silicon.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Ultrathin film imaging at 157 nm

Joerg Rottstegge; Waltraud Herbst; Stefan Hien; Gerald Fuetterer; Christian Eschbaumer; Christoph Hohle; Johannes Schwider; Michael Sebald

In future lithography the 157 nm wavelength is expected to succeed the 193 nm wavelength in 2004. So an early CARL resist for sub 100 nm resolution was developed at Infineon Technologies within the German BMBF project Laserbasierte Ultraprazisionstechnik - 157 nm Lithographie. Common 248 and 193 nm resist materials have a high absorbance (7- 12 μm-1) A main challenge at this short exposure wavelength is the development of a transparent base polymer or the imaging has to be done alternatively with ultra thin films. In contrast to a high transparency of the polymer a high quantum yield for the photo chemicals is required. CARL is a bilayer resist system developed by Siemens/Infineon Technologies. A modified CARL version is presented here for exposures at 157 nm, consisting of a silicon free top resist (Si free CARL) as thin imaging layer. A separate silylation step of the structured top resist after exposure and wet development provides a high etch resistance in the dry development step and allows imaging of ultra-thin films with a film thickness of ca. 50 nm. An oxygen plasma is taken to transfer these top resist structures into the up to 300 nm thick underlying Novolac type bottom resist. In dry development. The bottom resist itself provides high etch resistance also for aggressive substrate etch processes.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Evaluation of 193nm immersion resist without topcoat

Yayi Wei; Nickolay Stepanenko; A. Laessig; L. Voelkel; Michael Sebald

193nm immersion resist without topcoat is production preferred solution. The challenge of 193nm immersion resist is both low leaching level and high performance. This paper summarizes the screening results of selected 193nm immersion resists which are designed for use without top coatings. Our evaluation is divided into several phases. Leaching levels of resist samples were first tested. The leaching data were analyzed and compared to our specifications. Both binary image mask and alternating phase-shift mask exposures were done to evaluate the process window, line-edge roughness, and resist pattern profile. Resist films were rinsed by DI water prior to or after exposure, and contrast curves were measured to investigate the resist sensitivity change. The results are compared with resist systems which use developer-soluble topcoats.


Advances in resist technology and processing. Conference | 2005

Study of barrier coats for protection against airborne contamination in 157-nm lithography

Francis M. Houlihan; Raj Sakamuri; Keino Hamilton; Alla Dimerli; David Rentkiewicz; Andrew Romano; Ralph R. Dammel; Yayi Wei; Nickolay Stepanenko; Michael Sebald; Christoph Hohle; Will Conley; Daniel Miller; Toshiro Itani; Masato Shigematsu; Etsuro Kawaguchi

We summarize our work on devising protective barrier coats for use against airborne contamination when using tert-butoxycarbonylmethyl (BOCME) capped fluoroalcohol resist resins as part of our strategy to develop a 157 nm resist platform. We will describe how a barrier coat (AZ EXP FX Coating 145) consisting of a fluoro-cyclopolymer formulation, soluble in aqueous developer, can improve the post-exposure delay (PED) latitude of 157 nm resist resists exposed under conditions or airborne contamination. Specifically, a 20 nm thick coating of AZ EXP FX Coating 145 gives a PED latitude for L/S features of at least 10 min under condition of airborne amine contamination (10 ppb amine contamination). The barrier coat, AZ EXP FX coating 145 is formulated in a solvent which is compatible with resist film coated from typical 193 nm resist spin casting solvents. Moreover, it can be easily removed as part of the normal aqueous base development scheme, no extra post-apply bake or stripping step is required.


Advances in Resist Technology and Processing XVII | 2000

Negative-tone resist for phase-shifting mask technology: a progress report

Ernst Richter; Klaus Elian; Stefan Hien; Eberhard Kuehn; Michael Sebald; Masamitsu Shirai

With the objective to make smaller device structures at a given illumination wavelength the semiconductor industry is more and more trying to implement Phase-Shifting Masks (PSMs) as resolution enhancement technique for DUV lithography. However, with positive photoresists there is a phase edge problem. Using negative resists is the easiest approach to solve the phase edge problem. This is one of the reasons why negative resists are becoming more and more attractive for leading edge lithography. Therefore, we are developing a novel negative resist with 248/193 nm crossover capability. Most experiments were done in imitation of the CARLR bilayer resist process. The goal was to use established resist techniques and polymer materials, and just to change the generators and additives to get tone reversal. Using a photoacid generator (PAG) as additive leads to positive tone. In contrary with a photobase generator (PBG) and thermoacid generator (TAG) combination in a negative tone behavior is observed. Comprehensively, this blending concept allows the use of similar working polymers in both, positive and negative resists. The generator efficiencies were studied as well as the diffusion behavior of resist components during resist processing. Especially, process factors like baking conditions were investigated with the objective to control diffusion and limit resist outgassing in a high activation energy resist platform. Furthermore, in adaptation of the CARLR process, a separate liquid silylation step was integrated and investigated for various process conditions. In our paper we will discuss the characteristics and the lithographic capabilities of the novel methacrylate based negative resists. First promising results are based on DUV (248/193 nm) and ebeam exposures. Recent results with our positive version indicate the same outstanding possibilities. We expect a similar performance for the negative pendant in the near future.

Collaboration


Dive into the Michael Sebald's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yayi Wei

Chinese Academy of Sciences

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge