Michaela Vockenhuber
Paul Scherrer Institute
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Michaela Vockenhuber.
Proceedings of SPIE | 2013
Yasin Ekinci; Michaela Vockenhuber; M. Hojeij; Li Wang; Nassir Mojarad
The performance of EUV resists is one of the main challenges for the cost-effectiveness and the introduction of EUV lithography into high-volume manufacturing. The EUV interference lithography (EUV-IL) is a simple and powerful technique to print periodic nanostructures with a resolution beyond the capabilities of other tools. In addition, the well-defined and pitch-independent aerial image of the EUV-IL provides further advantages for the analysis of resist performance. In this paper, we present evaluation of chemically-amplified resists (CAR) and inorganic resists using EUV-IL. We illustrate the performance of the tool through a reproducibility study of a baseline resist over the course of 16 months. A comparative study of the performance of different resists is presented with the aim of resolving patterns with CARs for 16 nm half-pitch (HP) and 11 nm HP. Critical dimension (CD) and line-edge roughness (LER) are evaluated as functions of dose for different process conditions. With a CAR with about 10 mJ/cm2 sensitivity, 18 nm L/S patterns are obtained with low LER and well-resolved patterns are achieved down to 16 nm HP. With another CAR of about 35 mJ/cm2 sensitivity, L/S patterns with low LER are demonstrated down to 14 nm HP. Resolved patterns are achieved down to 12 HP, demonstrating the capability of its potential towards 11 nm HP if pattern collapse mitigation can be successfully applied. With EUV-sensitive inorganic resists, patterning down to 8 nm has been realized. In summary, we show that resist platforms with reasonable sensitivities are already available for patterning at 16 nm HP, 11 nm HP, and beyond, although there is still significant progress is needed. We also show that with decreasing HP, pattern collapse becomes a crucial issue limiting the resolution and LER. Therefore resist stability, collapse mitigation, and etch resistance are some of the significant problems to be addressed in the development of resist platforms for future technology nodes.
Proceedings of SPIE | 2012
Yasin Ekinci; Michaela Vockenhuber; Bernd Terhalle; M. Hojeij; Li Wang; Todd R. Younkin
The performance of EUV resists is a key factor for the cost-effective introduction of EUV lithography. Although most of the global effort concentrates on resist performance at 22 nm half-pitch, it is crucial for the future of EUVL to show its extendibility towards further technology nodes. In the last years, the EUV interference lithography tool at Paul Scherrer Institute, with its high-resolution and well-defined areal image, has been successfully employed for resist performance testing. In this paper, we present performance (dose, CD, LER) of a chemically-amplified resist for a range of 16 nm to 30 nm HP. Cross-sectional SEM images of the patterns are presented providing valuable insight into the resists performance and failure mode. The reproducibility of our experiments are presented by repeating the same exposures with constant process conditions over the course of several months, demonstrating the excellent stability of the tool as well as the long shelf-life of our baseline resist. In addition, a comparative study of performance (dose, CD, LER) of different inorganic resists is provided. Patterns of 16 nm and 10 nm HPs are demonstrated with an EUV CAR and inorganic resists, respectively. Moreover, initial results of patterning with 6.5 nm wavelength are presented.
Proceedings of SPIE | 2014
Brian Cardineau; Ryan Del Re; Hashim Al-Mashat; Miles Marnell; Michaela Vockenhuber; Yasin Ekinci; Chandra Sarma; Mark Neisser; Daniel A. Freedman; Robert L. Brainard
We have studied the photolysis of tin clusters of the type [(RSn)12O14(OH)6] X2 using extreme ultraviolet (EUV, 13.5 nm) light, and developed these clusters into novel high-resolution photoresists. A thin film of [(BuSn)12O14(OH)6][p-toluenesulfonate]2 (1) was prepared by spin coating a solution of (1) in 2-butanone onto a silicon wafer. Exposure to EUV light caused the compound (1) to be converted into a substance that was markedly less soluble in aqueous isopropanol. To optimize the EUV lithographic performance of resists using tin-oxo clusters, and to gain insight into the mechanism of their photochemical reactions, we prepared several compounds based on [(RSn)12O14(OH)6] X2. The sensitivity of tin-oxide films to EUV light were studied as a function of variations in the structure of the counter-anions (X, primarily carboxylates) and organic ligands bound to tin (R). Correlations were sought between the EUV sensitivity of these complexes vs. the strength of the carbon-carboxylate bonds in the counteranions and vs. the strength of the carbon-tin bonds. No correlation was observed between the strength of the carboncarboxylate bonds in the counter-anions (X) and the EUV photosensitivity. However, the EUV sensitivity of the tinoxide films appears to be well-correlated with the strength of the carbon-tin bonds. We hypothesize this correlation indicates a mechanism of carbon-tin bond homolysis during exposure. Using these tin clusters, 18-nm lines were printed showcasing the high resolution capabilities of these materials as photoresists for EUV lithography.
Proceedings of SPIE | 2015
James Passarelli; Michael Murphy; Ryan Del Re; Miriam Sortland; Levi Dousharm; Michaela Vockenhuber; Yasin Ekinci; Mark Neisser; Daniel A. Freedman; Robert L. Brainard
We have developed organometallic carboxylate compounds [RnM(O2CR’)2] capable of acting as negativetone EUV resists. Overall, the best and fastest resists contain antimony, are pentavalent and the carboxylate group contains a polymerizable olefin (e.g. acrylate, methacrylate or styrenecarboxylate). Evidence suggests that high sensitivity is achieved through the polymerization of olefins in the exposed region. We have performed a systematic sensitivity study of molecules of the type RnM(O2CR’)2 where we have studied seven R groups, four main group metals (M), and three polymerizable carboxylate groups (O2CR’). We found that the greatest predictor of sensitivity of the RnSb(O2CR’)2 resists is their level of polymerizable olefins. We mathematically define the polymerizable olefin loading (POL) as the ratio of the number of olefins vs. the number of non-hydrogen atoms. Linear and log plots of Emax vs. POL for a variety of molecules of the type R3Sb(O2CR’)2 lend insight into the behaviour of these resists.
Proceedings of SPIE | 2017
Oktay Yildirim; Elizabeth Buitrago; Rik Hoefnagels; Marieke Meeuwissen; Sander Frederik Wuister; Gijsbert Rispens; Anton van Oosten; Paul Derks; Jo Finders; Michaela Vockenhuber; Yasin Ekinci
Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.
Journal of Materials Chemistry | 2013
Thomas Rath; Celestino Padeste; Michaela Vockenhuber; Christopher Fradler; Matthias Edler; Angelika Reichmann; Ilse Letofsky-Papst; Ferdinand Hofer; Yasin Ekinci; Thomas Griesser
We present a versatile strategy toward the preparation of nanostructured metal sulfide layers, which exploits the photosensitivity of metal xanthates as a powerful tool for lithographic structuring. Using extreme ultraviolet interference lithography (EUV-IL), we successfully realized well-defined column and comb nanostructures. This approach provides new pathways to fabricate highly ordered structured metal sulfide layers with periodicities far below 100 nm for potential application in hybrid solar cells.
Proceedings of SPIE | 2015
Ryan Del Re; Miriam Sortland; James Pasarelli; Brian Cardineau; Yasin Ekinci; Michaela Vockenhuber; Mark Neisser; Daniel A. Freedman; Robert L. Brainard
Pure thin films of organotin compounds have been lithographically evaluated using extreme ultraviolet lithography (EUVL, 13.5 nm). Twenty-one compounds of the type R2Sn(O2CR’)2 were spin-coated from solutions in toluene, exposed to EUV light, and developed in organic solvents. Exposures produced negative-tone contrast curves and dense-line patterns using interference lithography. Contrast-curve studies indicated that the Emax values were linearly related to molecular weight when plotted separately depending upon the hydrocarbon group bound directly to tin (R = butyl, phenyl and benzyl). Additionally, Emax was found to be linearly related to free radical stability of the hydrocarbon group bound directly to tin. Dense-line patterning capabilities varied, but two resists in particular show exceptionally good line edge roughness (LER). A resist composed of an amorphous film of (C6H5CH2)2Sn(O2CC(CH3)3)2 (13) achieved 1.4 nm LER at 22 nm half-pitch patterning and a resist composed of (C6H5CH2)2Sn(O2CC6H5)2 (14) achieved 1.1 nm LER at 35 nm half-pitch at high exposure doses (600 mJ/cm2). Two photoresists that use olefin-based carboxylates, (C6H5CH2)2Sn(O2CCH=CH2)2 (11) and (C6H5CH2)2Sn(O2CC(CH3)=CH2)2 (12), demonstrated much improved photospeeds (5 mJ/ cm2 and 27 mJ/cm2) but with worse LER.
Journal of Micro-nanolithography Mems and Moems | 2015
Tero S. Kulmala; Michaela Vockenhuber; Elizabeth Buitrago; Roberto Fallica; Yasin Ekinci
Abstract. Extreme ultraviolet (EUV) lithography is considered to be the most promising option to continue with the downscaling of integrated circuits in high-volume manufacturing. One of the main challenges, however, is the development of EUV resists that fulfill the strict sensitivity, resolution, and line-edge roughness specifications of future nodes. Here, we present our EUV resist screening results of a wide range of EUV resists in their developmental phase from our collaborators from around the world. Furthermore, we have carried out extensive experiments to improve the processing parameters of the resists as well as to identify the optimal wafer pretreatment methods in order to optimize the adhesion of the resist to the substrate. We show that even though significant improvements in performance of chemically amplified resists have been achieved, pattern collapse is still the major process-limiting factor as the resolution decreases below 14 nm half-pitch.
Proceedings of SPIE | 2014
Yasin Ekinci; Michaela Vockenhuber; Nassir Mojarad; Daniel Fan
As extreme ultraviolet lithography (EUVL) prepares for its insertion into the high-volume manufacturing phase, many challenges still remain to be addressed. Among several issues, development of EUV resists with tight specifications of sensitivity (dose), resolution (HP) and line-edge roughness (LER) is required. Chemically-amplified resists (CARs) have been the major paradigm in the development of EUV resists, although several alternatives, such as molecular resists and inorganic resists, are also under development. Here we present a comparative study of the performance of CARs using the PSI’s EUV interference lithography tool, which can achieve patterning down to 7 nm HP. Also the current status of EUV resist availability towards 11 nm HP technology nodes is discussed. We show resolution down to 12 nm HP with CARs. Nevertheless, for patterning below 18 nm HP, the resolution is achieved at the expanse of sensitivity and LER. The global trend of decreasing sensitivity with increasing LER is valid across the different resists. This trade-off between resolution, LER, and sensitivity (i.e. RLS trade-off) is mainly dominated by the acid diffusion blur and remains a challenge. In addition, pattern collapse becomes a significant problem with increasing resolution. This can be partly overcome by the reducing the resist thickness, which leads to an increase in LER. Therefore, a new trade-off between pattern-collapse limited resolution and LER emerges. These two trade-offs make the progress in EUV resist development increasingly difficult.
Journal of Micro-nanolithography Mems and Moems | 2016
Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci
Abstract. Extreme ultraviolet lithography (EUVL, λ=13.5 nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365 nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).