Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Moitreyee Mukherjee-Roy is active.

Publication


Featured researches published by Moitreyee Mukherjee-Roy.


Japanese Journal of Applied Physics | 2003

A Dual BARC method for Lithography and Etch for Dual Damascene with Low K

Moitreyee Mukherjee-Roy; Vladimir N. Bliznetsov; Ganesh S. Samudra

A new method using dual bottom anti-reflective coating (BARC) is described in this paper for reducing critical dimension (CD) variation across wafer and improving Dual Damascene (DD) profiles. Combined use of conformal and planarizing BARC together, is investigated for Low K intermetal dielectric. Schemes that involve coat of planarizing BARC before conformal BARC and the other with coat of conformal BARC before planarizing BARC are investigated. Amount of BARC fill in the via and the sequence of BARC coat were both found to influence fence generation around via boundary during a subsequent etch process. Dual BARC also showed better via corner protection and smaller faceting after trench etch. BARC etch time was found to influence the amount of fence generation and circular step formation after etch. Electrical test results showed significant improvements of via yield for the dual BARC process that used conformal BARC coat followed by planarizing BARC coat.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Effect of feature size, pitch, and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase-shift masks

Navab Singh; Moitreyee Mukherjee-Roy

Attenuated Phase Shift Masks (att PSM) have become very popular in the industry for printing contact holes. Higher transmission att PSM generally tends to give a better depth of focus and exposure latitude. However, the main drawbacks of using higher transmission masks are side lobes, printing of unnecessary patterns and resist erosion. The side lobbing is strongly dependent on the feature size, pitch, coherency of exposure radiation, illumination type and the transmission of the mask being used. Along with these factors, the other most important factor is the resist contrast. In this paper the effect of pitch, feature size, and resist sensitivity were evaluated on side lobes and rings formation for via holes designed down to 180 nm. Six different pitches were studied (1:1 to 1:5). Two different types of resists were used and the mask transmission used for the study was 8%. Simulations were carried out using PROLITH 3D version 7.1 from KLA Tencor while the experimental verifications were done at Nikon 248 nm step and scan tool. The experimental results were found in accordance with the simulation data. The effect of NA & (sigma) have also been studied on resolution, exposure latitude and depth of focus.


MRS Proceedings | 2002

Challenges in Etching of OSG Low- K Materials for Dual-Damascene Metallization

Vladimir N. Bliznetsov; Moitreyee Mukherjee-Roy; Leong Yew Wing; Ng Beng Teck; Yew Wee Chuan

Issues associated with trench etching in low-k OSG (organosilicate glass) films for dual damascene applications and in particular for “via-first” integration scheme were the focus of this study. As a result of designed experiment in dipole ring magnet (DRM) etcher with C 4 F 8 /N 2 /Ar gas mixture the trench process was established with sidewall profile 89° and flat bottom. Selectivity obtained was enough to pursue etch processes using planarizing BARC (bottom antireflective coating) for additional via bottom protection. BARC fill in vias and BARC opening time were tuned to reduce generation of polymers during etch. Effective combination of dry /wet clean recipes was developed for removal of post-RIE (reactive ion etching) residues without significant changes in OSG k-value. Optimized processes were successfully used for creating dual damascene structure complying with integration requirements for 0.13 μm design rules.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Evaluation of alignment target designs for Cu and low-K dual damascene processes

Moitreyee Mukherjee-Roy; Navab Singh; Sohan Singh Mehta; Wai Meng Chik; Chin Tiong Sim; Francis Cheong

This paper studies the alignment performance of dual damascene patterning using two different integration schemes. These schemes cater to two different low K materials. The via first scheme is used for CVD type material while the dual hardmask trench first is used for spin on low K material. The alignment and exposure is performed on Nikon scanner S203B using LSA (Laser Step Alignment; a scattering based system) and FIA (Field Interferometer Alignment; a contrast-based system) systems while the overlay is measured on KLA 5200XP. Many different mark designs were evaluated for both the schemes. The effect of resist coat was found to be different on different mark types. Difference was also seen in the signal strengths in X and Y directions. Process optimisation for scanner was done by varying the slice level, signal processing algorithms, and focus. The initial alignment mark evaluations were done by studying the signals obtained from such marks and comparison of Static Random Factor (SRF) and Dynamic Random Factor (DRF) obtained from the scanner. The best marks were then applied to the lot splits and the final performance was evaluated by measuring the total overlay results. Results showed that SRF and DRF evaluation could be used for screening to find out the best marks for a certain level. It can also be concluded that dual damascene alignment is possible with trench first scheme that has small step height using the LSA window mark or the FIA narrow island mark. For both schemes the best performer was the FIA Narrow Island Mark. Hence it can be concluded that better alignment performance could be obtained by special alignment mark designs for a specific level.


Microelectronics Journal | 2004

Resist pattern peeling assessment in DUV chemically amplified resist

Sohan Singh Mehta; Sun Hai Qin; Moitreyee Mukherjee-Roy; Navab Singh; R. Kumar

As device size shrinks resist line peeling becomes more challenging. In this paper we studied the resist pattern peeling based on resist processing parameters and type of bottom antireflective coating (BARC), for patterning trench structures with different duty ratios, in copper and low k dual damascene process. To minimize resist poisoning in dual damascene process, acetal-based resist was used. Significant improvement in via poisoning was observed with this chemistry as compared to environmentally stable chemically amplified resist chemistry but at the cost of pattern peeling. In order to solve pattern peeling problem we tried to analyze key factors such as compatibility with BARC, post-exposure bake, BARC curing, adhesion and their effects. Pitch dependency on pattern peeling margin is observed.


Microelectronics Journal | 2003

Defocusing image to pattern contact holes using attenuated phase shift masks

Navab Singh; Moitreyee Mukherjee-Roy; Sohan Singh Mehta

The patterning of contact holes by selecting out-of-focus image plane (defocus) using attenuated phase shift masks (APSM) has been studied. Defocus is found to enhance the image modulation at low partial coherence for contact holes with negative local average of mask function. Semi-dense holes up to 130 nm in 8% APSM have been printed by 0.5 μm defocus at a partial coherence of 0.31 using KrF scanner with highest numerical aperture of 0.68. However, these holes were closed with in-focus imaging. Defocus is also found to be beneficial for patterning the pitches that have extensive side lobes with in-focus imaging.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Effect of developer surfactant on lithography process latitudes and post pattern defect concentration

Moitreyee Mukherjee-Roy; Ngooi Siew Wei; R. Kumar; Satoshi Kawada

The current work was done jointly between Institute of Microelectronics, Singapore and Tokuyama Corporation, Japan. Here the patterning performance of four different developers was evaluated. Three of these were with surfactant and one was without surfactant. The parameters evaluated were resist thickness loss, resist contrast, process windows such as depth of focus and exposure latitudes, across wafer critical dimension (CD) uniformity, and post pattern defect density. Feature sizes of 180 nm and 150 nm were evaluated for litho process latitudes. CD uniformity was also evaluated for 180 nm and 150 nm geometries. The resist loss was found to be minimum for developer A which was without surfactant. The depth of focus and exposure latitude of smaller geometry (150nm lines) in general showed better values for developers with surfactants. Developer solutions with surfactant also gave better across wafer CD uniformity for smaller geometries (150nm). Post pattern defects were found to be least with developer C that which contained nonionic type of surfactant and cationic one. Defects were highest for developer B which contained nonionic type of surfactant. The addition of optimum surfactant to developer has potential for reducing defects to lower levels and achieves better across wafer CD uniformity for smaller geometries.


Metrology, inspection, and process control for microlothoggraphy. Conference | 2001

Evaluation of overlay measurement target designs for Cu dual-damascene process

Moitreyee Mukherjee-Roy; R. Kumar; Ganesh S. Samudra

In this work, different overlay targets were evaluated for the Via first process with conventional USG dielectric. The etch stop layer nitride thickness was limited at 500 A as increasing this thickness will increase the RC delay which is undesirable. A series of targets were evaluated to find out the best performer. Target evaluation was done by their appearance, static repeatability, dynamic repeatability, target correlation, Tool induced shift, Overall misregistration, and residuals. Lot comparisons have also been done using selected targets. Lot average misregistrations (with the best target-2micrometers trench) of 9nm (X + 3(sigma) ), and 15nm (Y + 3(sigma) ) were obtained for the Metal 2 (M2) aligning to Via 1 (V1) level. The different Bar in Bar target structures evaluated were: a. Trench in trench : 1 micrometers and 2 micrometers trenches. b. Wall in wall : 1 micrometers Bars and 2 micrometers Bars. The trench in trench structures were found to work better than bar in bar for conventional dielectric Via first approach. The 2micrometers thick trenches gave the best results for target correlation, dynamic repeatability, and residual values. Metal 1 to metal 2 targets also gave good results and could be used. For low K dielectric and copper integration, a dual hard mask scheme was used. The dual hardmask was used to minimize the interaction of organic dielectric with organic barc and deep UV resist layer as this sometimes gives rise to poisoning issues. For the Organic Low K dielectric and Copper, where the dual hard Mask scheme was followed, the Wall in Wall target gave good contrast and the best results.


Journal of Micro-nanolithography Mems and Moems | 2005

Intensity imbalance in phase shift masks and correction by multiple exposures

Moitreyee Mukherjee-Roy; Navab Singh; Sohan Singh Mehta; Yasuki Kimura; Hideki Suda; Kazunori Nagai

In this paper, the issue of intensity imbalance in an alternating phase shift mask has been studied for hole patterns with pitches 300 nm and below. A method of processing is developed, which would nullify the effects of phase errors that cause focus dependent difference in the sizes of holes belonging to opposite phases. This method uses two exposures with opposite foci. Using this method, the effect of the focus on the difference in the size of holes from opposite phases could be totally eliminated. It also changes the nature of the focus curve, bringing a significant improvement in the depth of focus without affecting exposure latitude and mask error enhancement factor. The method works quite effectively for all the via pitches, however, some constant size difference existed across focus, that is easily correctable by biasing one phase with respect to the other. It was also found that this technique could bring remarkable immunity against the lens aberrations such as defocus and astigmatism.


Optical Microlithography XVII | 2004

Key challenges in across-pitch 0.33-k1 trench patterning using hybrid mask

Navab Singh; Moitreyee Mukherjee-Roy; Sohan Singh Mehta; Hideki Suda; Takao Kubota; Yasuki Kimura; Hiroshi Kinoshita

This paper studies the concept of and challenges in patterning trenches using hybrid phase shift mask. Our hybrid mask consists of alternating, chrome-less and 20% attenuated phase shift features on the same reticle. Using this mask, we could pattern across-pitch 120 nm trenches on 0.68-NA, KrF lithography scanner, which is equivalent to K1 of 0.33. However, many challenging issues like unequal best focus for different duty ratios of the same technique and same duty ratio of different techniques, variation in the dose requirements despite aerial image CD matching and the pitch dependent variation in the critical dimension imbalance of the zero and π phased trenches are observed. These issues, that are question marks on the viability of hybrid mask, are presented in this paper. Hybrid mask manufacturing and characterization data is also included to justify that the issues are not because of the mask manufacturing process.

Collaboration


Dive into the Moitreyee Mukherjee-Roy's collaboration.

Top Co-Authors

Avatar

Navab Singh

Singapore Science Park

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

R. Kumar

Singapore Science Park

View shared research outputs
Top Co-Authors

Avatar

Ganesh S. Samudra

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ng Beng Teck

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge