Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Navab Singh is active.

Publication


Featured researches published by Navab Singh.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Effect of feature size, pitch, and resist sensitivity on side-lobe and ring formation for via hole patterning in attenuated phase-shift masks

Navab Singh; Moitreyee Mukherjee-Roy

Attenuated Phase Shift Masks (att PSM) have become very popular in the industry for printing contact holes. Higher transmission att PSM generally tends to give a better depth of focus and exposure latitude. However, the main drawbacks of using higher transmission masks are side lobes, printing of unnecessary patterns and resist erosion. The side lobbing is strongly dependent on the feature size, pitch, coherency of exposure radiation, illumination type and the transmission of the mask being used. Along with these factors, the other most important factor is the resist contrast. In this paper the effect of pitch, feature size, and resist sensitivity were evaluated on side lobes and rings formation for via holes designed down to 180 nm. Six different pitches were studied (1:1 to 1:5). Two different types of resists were used and the mask transmission used for the study was 8%. Simulations were carried out using PROLITH 3D version 7.1 from KLA Tencor while the experimental verifications were done at Nikon 248 nm step and scan tool. The experimental results were found in accordance with the simulation data. The effect of NA & (sigma) have also been studied on resolution, exposure latitude and depth of focus.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Evaluation of alignment target designs for Cu and low-K dual damascene processes

Moitreyee Mukherjee-Roy; Navab Singh; Sohan Singh Mehta; Wai Meng Chik; Chin Tiong Sim; Francis Cheong

This paper studies the alignment performance of dual damascene patterning using two different integration schemes. These schemes cater to two different low K materials. The via first scheme is used for CVD type material while the dual hardmask trench first is used for spin on low K material. The alignment and exposure is performed on Nikon scanner S203B using LSA (Laser Step Alignment; a scattering based system) and FIA (Field Interferometer Alignment; a contrast-based system) systems while the overlay is measured on KLA 5200XP. Many different mark designs were evaluated for both the schemes. The effect of resist coat was found to be different on different mark types. Difference was also seen in the signal strengths in X and Y directions. Process optimisation for scanner was done by varying the slice level, signal processing algorithms, and focus. The initial alignment mark evaluations were done by studying the signals obtained from such marks and comparison of Static Random Factor (SRF) and Dynamic Random Factor (DRF) obtained from the scanner. The best marks were then applied to the lot splits and the final performance was evaluated by measuring the total overlay results. Results showed that SRF and DRF evaluation could be used for screening to find out the best marks for a certain level. It can also be concluded that dual damascene alignment is possible with trench first scheme that has small step height using the LSA window mark or the FIA narrow island mark. For both schemes the best performer was the FIA Narrow Island Mark. Hence it can be concluded that better alignment performance could be obtained by special alignment mark designs for a specific level.


electronics packaging technology conference | 2015

Investigation of Al and Ge surfaces for Al-Ge wafer level eutectic bonding

Geng Li Chua; Bangtao Chen; Navab Singh

Metal based wafer level eutectic bonding has been developed for hermetic sealing providing consistent gas environment for MEMS Silicon (Si) sensor devices as well as protecting the MEMS structure. The use of Aluminum (Al) and Germanium (Ge) materials for bonding has advantages as these materials are CMOS compatible with Al conventionally used for wire bonding and metal pads, and Ge for creating SiGe CMOS devices. Al-Ge bonding is also comparatively low cost compared to gold and silicon (Au-Si) bonding. For successful eutectic mixture during bonding process, the two metal surfaces on both sides of the wafers to be bonded have to be cleaned and cleared of native oxides and contaminants. This work examines the Al and Ge surfaces prior to bonding that affects the formation of Al-Ge eutectic reaction.


Microelectronics Journal | 2004

Resist pattern peeling assessment in DUV chemically amplified resist

Sohan Singh Mehta; Sun Hai Qin; Moitreyee Mukherjee-Roy; Navab Singh; R. Kumar

As device size shrinks resist line peeling becomes more challenging. In this paper we studied the resist pattern peeling based on resist processing parameters and type of bottom antireflective coating (BARC), for patterning trench structures with different duty ratios, in copper and low k dual damascene process. To minimize resist poisoning in dual damascene process, acetal-based resist was used. Significant improvement in via poisoning was observed with this chemistry as compared to environmentally stable chemically amplified resist chemistry but at the cost of pattern peeling. In order to solve pattern peeling problem we tried to analyze key factors such as compatibility with BARC, post-exposure bake, BARC curing, adhesion and their effects. Pitch dependency on pattern peeling margin is observed.


Design and process integration for microelectronic manufacturing. Conference | 2004

Patterning sub-50 nm Fin-FET using KrF lithography tool

Navab Singh; S. Jagar; Sohan Singh Mehta; Moitreyee Mukherjee Roy; Rakesh Kumar; N. Balasubramanian

A method to fabricate a very thin channel body Fin-FET and Tri-gate MOSFET is presented. 8% Attenuated Phase-shift mask (APSM) and single phase chrome-less mask (CLM) techniques are evaluated to pattern fins in sub-50 nm regime using KrF lithography scanner with a maximum numerical aperture of 0.68. Some of the issues of single phase CLM technique with respect to fin patterning are highlighted. Dual Exposure With Shift (DEWS)’ is introduced to pattern gate lines down to 80 nm using binary mask.


Microelectronics Journal | 2003

Defocusing image to pattern contact holes using attenuated phase shift masks

Navab Singh; Moitreyee Mukherjee-Roy; Sohan Singh Mehta

The patterning of contact holes by selecting out-of-focus image plane (defocus) using attenuated phase shift masks (APSM) has been studied. Defocus is found to enhance the image modulation at low partial coherence for contact holes with negative local average of mask function. Semi-dense holes up to 130 nm in 8% APSM have been printed by 0.5 μm defocus at a partial coherence of 0.31 using KrF scanner with highest numerical aperture of 0.68. However, these holes were closed with in-focus imaging. Defocus is also found to be beneficial for patterning the pitches that have extensive side lobes with in-focus imaging.


Journal of Micro-nanolithography Mems and Moems | 2005

Intensity imbalance in phase shift masks and correction by multiple exposures

Moitreyee Mukherjee-Roy; Navab Singh; Sohan Singh Mehta; Yasuki Kimura; Hideki Suda; Kazunori Nagai

In this paper, the issue of intensity imbalance in an alternating phase shift mask has been studied for hole patterns with pitches 300 nm and below. A method of processing is developed, which would nullify the effects of phase errors that cause focus dependent difference in the sizes of holes belonging to opposite phases. This method uses two exposures with opposite foci. Using this method, the effect of the focus on the difference in the size of holes from opposite phases could be totally eliminated. It also changes the nature of the focus curve, bringing a significant improvement in the depth of focus without affecting exposure latitude and mask error enhancement factor. The method works quite effectively for all the via pitches, however, some constant size difference existed across focus, that is easily correctable by biasing one phase with respect to the other. It was also found that this technique could bring remarkable immunity against the lens aberrations such as defocus and astigmatism.


Optical Microlithography XVII | 2004

Key challenges in across-pitch 0.33-k1 trench patterning using hybrid mask

Navab Singh; Moitreyee Mukherjee-Roy; Sohan Singh Mehta; Hideki Suda; Takao Kubota; Yasuki Kimura; Hiroshi Kinoshita

This paper studies the concept of and challenges in patterning trenches using hybrid phase shift mask. Our hybrid mask consists of alternating, chrome-less and 20% attenuated phase shift features on the same reticle. Using this mask, we could pattern across-pitch 120 nm trenches on 0.68-NA, KrF lithography scanner, which is equivalent to K1 of 0.33. However, many challenging issues like unequal best focus for different duty ratios of the same technique and same duty ratio of different techniques, variation in the dose requirements despite aerial image CD matching and the pitch dependent variation in the critical dimension imbalance of the zero and π phased trenches are observed. These issues, that are question marks on the viability of hybrid mask, are presented in this paper. Hybrid mask manufacturing and characterization data is also included to justify that the issues are not because of the mask manufacturing process.


Microelectronics Journal | 2004

Placement of scattering bars in binary and attenuated phase shift mask for damascene trench patterning

Sohan Singh Mehta; Navab Singh; Moitreyee Mukherjee-Roy; R. Kumar

Abstract Scattering bars have been very effective technique to increase the common lithography process window for patterns with design rules 0.18 μm and below. This paper studies the placement of scattering bars in binary and attenuated phase shift mask in damascene trench patterning. Different partial coherence values are used to compare the scattering bar effect in binary and 8% attenuated phase shift mask. At low partial coherence ( σ ) the trench size has been found more sensitive to scattering bar parameters than at high σ . Scattering bar separation is found more effective than size to affect the trench critical dimension (CD). At low partial coherence a deep valley or ‘V’ shaped CD trend is found in scattering bar separation versus CD curve. CD dip is more using APSM as compared to binary mask. The process latitude is poor at valley as compared to top. Also, 3 sigma CD variation and range is higher at valley as compared to other separations of the scattering bars.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Alignment in chromeless masks

Moitreyee Mukherjee-Roy; Navab Singh; Sohan Singh Mehta; Hideki Suda; Takao Kubota; Yasuki Kimura; Hiroshi Kinoshita

One of the contributions to pattern placement/misalignment may come from the mask making process itself, in chromeless masks. This contribution will be important at 90 nm and smaller nodes. Hence it is necessary to estimate this contribution and find ways to minimize this. In this paper an effort has been made to measure this misalignment accurately. A series of box in box structures for overlay measurement, on KLA and CD SEM, were designed on the reticle. The structures had an outer box of etched chrome and an inner box with 180 degree phase. The edge of the chrome was used as the edge of the outer box. The line printed at the phase intersection was used as the edge of the inner box. Each of these structures were put in with a pre-determined value of X and Y misregistrations. The CD SEM structures were smaller in size but designed the same way as KLA structures. Such structures were put at 4 corners of the die. Overlay measurements were carried out using the optical overlay machine as well as CD SEM. An average misalignment of 11 nm and 1 nm were found in the X and Y directions respectively. When the results from each die corner was analyzed, it was found that the X misalignment had two different distributions. Also, exposure parameters such as focus and partial coherence for best misalignment measurement points were investigated. It is concluded that for obtaining accurate misalignment data, measurements should be conducted at a focus where the two opposite phase edges pattern at similar width. Also, a higher partial coherence is recommended as aberrations such a coma have more profound influence at lower partial coherence and this could contaminate the true misalignment data.

Collaboration


Dive into the Navab Singh's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ganesh S. Samudra

National University of Singapore

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

R. Kumar

Singapore Science Park

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge