Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Motoyuki Shima is active.

Publication


Featured researches published by Motoyuki Shima.


Advances in resist technology and processing. Conference | 2005

Material design for immersion lithography with high refractive index fluid (HIF)

Takashi Miyamatsu; Yong Wang; Motoyuki Shima; Shiro Kusumoto; Takashi Chiba; Hiroki Nakagawa; Katsuhiko Hieda; Tsutomu Shimokawa

ArF immersion lithography is considered as the most promising next generation technology which enables to a 45 nm node device manufacturing and below. Not only depth of focus enlargement, immersion lithography enables to use hyper numerical aperture (NA) larger than 1.0 and achieve higher resolution capability. For 193nm lithography, water is an ideal immersion fluid, providing suitable refractive index and transmission properties. Furthermore the higher refractive index fluid is expected to provide a potential extension of optical lithography to the 32 nm node. This paper describes the material design for immersion lithography with high refractive index fluid. We have developed promising high refractive index fluids which satisfy the requirement for immersion fluid by screening wide variety of organic compounds. The physical and chemical properties of this high refractive index fluid are discussed in detail. Also the topcoat material which has good matching with high refractive index fluid is developed. While this topcoat material is soluble into aqueous TMAH developer, it does not dissolve into water or high refractive index fluid and gives suitable contact angle for immersion scan exposure. Immersion exposure experiments using high refractive index fluid with and w/o topcoat material was carried out and its lithographic performance is presented in this paper.


Proceedings of SPIE | 2007

Various factors of the image blur in chemically amplified resist

Takanori Kawakami; Tomoki Nagai; Yukio Nishimura; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

In the current optical lithography, the resolution is being pushed for 45 nm half-pitch, and the chemically amplified resist will be used for wide variety of applications including immersion lithography. So far the chemical amplification has brought high performance for lithography. In the future, for the ArF lithography beyond 45nm half-pith, it will be important to control pattern size. On the other hand, chemically amplified resist which utilized acid catalyzed deprotecting reaction is sensitive to physical and chemical factor. Thus, there are various factors in the each process (Resist coating, Pre bake, Exposure, Post exposure bake, Development and Rinse) to cause the resist blur. For example, its acid diffusion on PEB. The influence of these factors for the resist blur is a significant issue for lithography beyond 45 nm half-pitch. Therefore the need to reduce these factors on the resist blur becomes higher in order to extend the ArF lithography beyond 45 nm half-pith. In this paper, acid diffusion coefficient (D) and resist blur with changing anion size of PAG, size of protecting group in typical ArF resist was reported. The relationship between acid diffusion coefficient and resist blur was discussed on the basis of their difference in structure and characteristics.


Proceedings of SPIE | 2009

CD uniformity improvement for double-patterning lithography (litho-litho-etch) using freezing process

Hisanori Sugimachi; Hitoshi Kosugi; Tsuyoshi Shibata; Junichi Kitano; Koichi Fujiwara; Kouji Itou; Michihiro Mita; Akimasa Soyano; Shiro Kusumoto; Motoyuki Shima; Yoshikazu Yamaguchi

After an analysis of the factors that causes critical dimension (CD) variation in the lithography process of the LLE (Litho-Litho-Etch) double-patterning technology that employs the freezing process, an optimum process for freezing the resist patterns to reduce the CD variation, which occurs after the 2nd litho process, was achieved. By optimizing the track parameters of freezing process, CD variation is likely to be reduced not only in the 1st resist pattern but also in the 2nd resist pattern. The optimum conditions were adopted to form patterns of 40 nm resist lines and spaces in the evaluations conducted in this paper. The formation result showed improvement of 3 sigma of the within-wafer CD uniformity of both the 1st resist pattern and the 2nd resist pattern, by about 13% and 46% respectively.


Advances in resist technology and processing. Conference | 2005

Contact hole shrink process with novel chemical shrink materials

Takayoshi Abe; Tooru Kimura; Takashi Chiba; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

Contact hole shrink process is becoming more important option for 45nm node design rules. In general, lithography of contact hole has been harder than that of line and space application due to the low contrast of incident light. The contact hole size for 45nm node device will be around 60nm and this hole size will be the limit of 193nm lithography. High NA exposure tool for 193nm lithography achieves 60nm contact hole resolution, but both under dose margin and depth of focus will be limited. This fact results in the insufficient process window of 193nm lithography. Thus some supporting process should be necessary and a chemical shrink process is one of the possible approach to resolve 60nm contact hole with appropriate process margin. The general chemical shrink process is as follows. Chemical Shrink Material (CSM) is coated on patterned photoresist, and following bake process controls chemical cross-linking reaction and forming a layer insoluble into the developer. As a result pattern size is reduced to desired CD. However current CSM has several issues: i.e. inferior etching durability of CSM than that of 193nm resist and pattern profile degradation after the process. This will be the critical problem for pattern transfer process using CSM. From this point of view, we developed a novel CSM which has good etching durability compared with 193nm resist and does not have a pattern profile degradation. This material consists of aromatic moiety to satisfy good etching durability. Also, the shrink rate and amount are not pitch dependent.


Proceedings of SPIE | 2009

Feasibility study of non-topcoat resist for 22nm node devices

Koutaro Sho; Hirokazu Kato; Katsutoshi Kobayashi; Kazunori Iida; Tomoya Ori; Daizo Muto; Tsukasa Azuma; Shinichi Ito; Tomoharu Fujiwara; Yuuki Ishii; Yukio Nishimura; Takanori Kawakami; Motoyuki Shima

Subsequent to 45 nm node, immersion lithography using topcoat process is approaching its next step for mass production. However, microfabrication using immersion topcoat leads to increase in cost due to increase in process steps. In order to deal with this problem, high throughput scanners equipped with a wafer stage which moves at higher speed are under development. Furthermore, as resist process compatible with such high speed scanners, non-topcoat resist is available and seems promising in reducing costs of the resist process. Non-topcoat resist contains hydrophobic additives which are eccentrically located near the film surface. Because non-topcoat resist enables the formation of a more hydrophobic surface, non-topcoat resist process is more suitable for high-speed scanning than topcoat resist process. In the topcoat process, the function of topcoat material and resist material is separated. That is, the resist material and the topcoat material are responsible for lithographic performance and immersion scanning performance, respectively. However, the non-topcoat resist is expected both performances. That is, the non-topcoat resist are required a fine resist profile, small LWR, and low development defects at high speed immersion scanning. In this paper, we report the application of non-topcoat resist in 22 nm node devices. We investigate the influence of hydrophobic additives on imaging performance in several base polymers. Additionally, the influence of chemical species, molecular weight and amount of hydrophobic additive are investigated. Scan performance is also estimated by dynamic receding contact angle using pin scan tool. 22nm node imaging performance is evaluated using Nikon NSRS610C. The surface characteristics and lithographic performance of non-topcoat resist for 22 nm node devices are discussed.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Improvement of watermark defect in immersion lithography: mechanism of watermark defect formation and its reduction by using alkaline-soluble immersion topcoat

Hiroki Nakagawa; Atsushi Nakamura; Hiroshi Dougauchi; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

ArF (193nm) immersion lithography is considered as the most promising next generation technology and significant effort to establish the immersion process for semiconductor device HVM is currently focused on the tool, material and process development. One of the serious issues in the immersion process for the commercial semiconductor production is the immersion-specific defects. Typical immersion-specific defects are nanobubble, watermark (W/M) defect, and degradation of pattern profile caused by resist components leaching. The nanobubbles, which exist in the immersion medium such as water, deform the optical image, and then cause the pattern profile degradation. Small water droplet left on the resist film after scanning exposure causes W/M defect. Leaching of resist component induces insufficient de-protection reaction at the resist surface region, then cause the T-top pattern profile or bridge type defect. Among these immersion-specific defects, the effective countermeasure against W/M defect has not been established yet, because the mechanism of W/M defect formation is not fully figured out. From the model experimental result, we have found that W/M defect formation depends on the characteristics of photoresist and topcoat materials. Then we have developed the new immersion topcoat which is soluble into aqueous TMAH developer, and this material provides practical solution for W/M defect reduction. In this paper, we will report the mechanism of W/M defect formation which is related to the characteristics of photoresist and topcoat material. Also W/M defect reduction process by using alkaline soluble immersion topcoat will be discussed in detail.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Constructing a robust PSCARTM process for EUV (Conference Presentation)

Michael A. Carcasi; Seiji Nagahara; Gosuke Shiraishi; Yukie Minekawa; Hiroyuki Ide; Kosuke Yoshihara; Masaru Tomono; Ryo Shimada; Geert Vandenberghe; Danilo De Simone; Philippe Foubert; Akihiro Oshima; Seiichi Tagawa; Kazuhiro Takeshita; Teruhiko Moriya; Yuya Kamei; Kathleen Nafus; S. Biesemans; Hideo Nakashima; Hisashi Nakagawa; Takehiko Naruoka; Tomoki Nagai; Masafumi Hori; Satoshi Dei; Ken Maruyama; Yoshihiro Kondo; Masayuki Miyake; Motoyuki Shima; John S. Petersen

In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8. PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only. Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.


Advances in Patterning Materials and Processes XXXV | 2018

EUV resist sensitization and roughness improvement by PSCAR with in-line flood exposure system (Conference Presentation)

Seiji Nagahara; Michael A. Carcasi; Gosuke Shiraishi; Yuya Kamei; Kathleen Nafus; Yukie Minekawa; Hiroyuki Ide; Yoshihiro Kondo; Takahiro Shiozawa; Keisuke Yoshida; Masashi Enomoto; Kosuke Yoshihara; Hideo Nakashima; S. Biesemans; Ryo Shimada; Masaru Tomono; Kazuhiro Takeshita; Teruhiko Moriya; Hayakawa Makoto; Ryo Aizawa; Yoshitaka Konishi; Masafumi Hori; Ken Maruyama; Hisashi Nakagawa; Masayuki Miyake; Tomoki Nagai; Satoshi Dei; Takehiko Naruoka; Motoyuki Shima; Toru Kimura

Photosensitized Chemically Amplified ResistTM (PSCARTM) **2.0’s advantages and expectations are reviewed in this paper. Alpha PSCAR in-line UV exposure system (“Litho Enhancer”) was newly installed at imec in a Tokyo Electron Ltd. (TELTM)’s CLEAN TRACKTM LITHIUS ProTM Z connected to an ASML’s NXE:3300. Using the Litho Enhancer, PSCAR 2.0 sensitization preliminary results show that suppression of roughness enhancement may occur while sensitivity is increased. The calibrated PSCAR 2.0 simulator is used for prediction of resist formulation and process optimization. The simulation predicts that resist contrast enhancement could be realized by resist formulation and process optimization with UV flood exposure.


Proceedings of SPIE | 2013

The evolving complexity of patterning materials

Tsutomu Shimokawa; Yoshi Hishiro; Yoshikazu Yamaguchi; Motoyuki Shima; Tooru Kimura; Yoshio Takimoto; Tomoki Nagai

People have enjoyed innovations which are made possible with the device scaling. The industry has been challenging to realize the Moore’s Law. Resolution limit of ArF immersion scanner has already been larger than the device CD necessary now. Device structures have been getting more and more complicated to meet various technology requirements such as scaling, device speed, low power consumption and so on. Not only the scaling but also complication has to be overcome to realize those requirements. Scaling requirements, device structure, and new types of architectures for new generation device with the limited single exposure capability force us to keep using and exploring complicated multi-step patterning techniques or “tricks”. Device design, elements, process, and consumable tricks and JSR’s solution have been reviewed.


Proceedings of SPIE | 2012

High hydrophobic topcoat approach for high volume production andyield enhancement of immersion lithography

Natsuko Sagawa; Katsushi Nakano; Yuuki Ishii; Kazunori Kusabiraki; Motoyuki Shima

Immersion scanner performance is being improved generation by generation. Faster scan speed is required to increase scanner productivity. There are, however, several papers reporting defect increase with higher scan speed1, 2, 3. To overcome this challenge, both material and immersion scanner requires special tuning and optimization. This high stage speed is possible by employing topcoats that have higher hydrophobicity. In general, blob defect are generated at a higher rate with increase in hydrophobicity of topcoat. Nikon and JSR have collaborated to address this challenge by using next generation scanner and a newly developed topcoat material, respectively. JSR, as a topcoat supplier, introduces a new topcoat (TCX279), which shows low blob defects even with very high hydrophobicity. Nikons latest immersion scanner S621D, equipped with latest nozzle design for optimizing immersion water flow, and an improved tandem stage system to reduce edge particles, resulted in achieving 5x defect reduction compared to S620D. Ultimately, zero immersion defects were realized by a combination of Nikons S621D scanner and JSRs new topcoat, TCX279.

Collaboration


Dive into the Motoyuki Shima's collaboration.

Top Co-Authors

Avatar

Tsutomu Shimokawa

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tsutomu Shimokawa

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Atsushi Nakamura

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge