Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ahmad D. Katnani is active.

Publication


Featured researches published by Ahmad D. Katnani.


Advances in resist technology and processing. Conference | 1997

New ESCAP-type resist with enhanced etch resistance and its application to future DRAM and logic devices

Will Conley; William R. Brunsvold; Fred Buehrer; Ronald A. DellaGuardia; David M. Dobuzinsky; Timothy R. Farrell; Hok Ho; Ahmad D. Katnani; Robin Keller; James T. Marsh; Paul K. Muller; Ronald W. Nunes; Hung Y. Ng; James M. Oberschmidt; Michael Pike; Deborah Ryan; Tina J. Cotler-Wagner; Ron Schulz; Hiroshi Ito; Donald C. Hofer; Gregory Breyta; Debra Fenzel-Alexander; Gregory M. Wallraff; Juliann Opitz; James W. Thackeray; George G. Barclay; James F. Cameron; Tracy K. Lindsay; Michael F. Cronin; Matthew L. Moynihan

This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.


23rd Annual International Symposium on Microlithography | 1998

Positive bilayer resists for 248- and 193-nm lithography

Ratnam Sooriyakumaran; Gregory M. Wallraff; Carl E. Larson; Debra Fenzel-Alexander; Richard A. Di Pietro; Juliann Opitz; Donald C. Hofer; Douglas C. LaTulip; John P. Simons; Karen Petrillo; Katherina Babich; Marie Angelopoulos; Qinghuang Lin; Ahmad D. Katnani

We have designed and developed new silicon containing methacrylate monomers that can be used in bilayer resist systems. New monomers were developed because the commercially available silicon monomers were found to be unsuitable for our applications. During the course of the investigation we determined that these monomers were acid labile. We have developed a high resolution DUV bilayer resist system based on these monomers. Although most of our work was concentrated on 248 nm lithography, we have demonstrated that this chemistry can be extended to 193 nm applications.


23rd Annual International Symposium on Microlithography | 1998

Extension of 248-nm optical lithography: a thin film imaging approach

Qinghuang Lin; Ahmad D. Katnani; Timothy A. Brunner; Charlotte DeWan; Cindy Fairchok; Douglas Charles Latulipe; John P. Simons; Karen Petrillo; Katherina Babich; David E. Seeger; Marie Angelopoulos; Ratnam Sooriyakumaran; Gregory M. Wallraff; Donald C. Hofer

A negative-tone bilayer thin film imaged (TFI) resist has been developed for extension of 248 nm optical lithography to sub-150 nm regime. The bilayer TFI resist system consists of a thin (0.2 um) silicon containing top imaging layer and a thick (0.7 - 0.8 um) highly absorbing organic underlayer. The chemically amplified negative-tone top layer resist comprises of three major components: an aqueous base soluble silicon containing polymer, poly(hydroxybenzylsilsesquioxane); a crosslinking agent; and a photoacid generator. The highly absorptive underlayer is a hard baked novolak resist or a DUV ARC. Imaging of the top layer resist has shown resolutions down to 137.5 nm for line/space features and 130 nm for isolated features with 248 nm exposure tools and chrome on glass masks. The O2 reactive ion etch (RIE) selectively of the top layers versus a novolak underlayer is more than 25:1 as a result of the high silicon content in the silicon containing polymer. Furthermore, residue-free and nearly vertical wall profile image transfer to the underlayer has been achieved with RIE. Application of the negative-tone bilayer resist to 150 nm Gbit DRAM critical level lithography has been demonstrated. Resist line edge roughness is also discussed.


Advances in Resist Technology and Processing XI | 1994

Evaluation of a new environmentally stable positive tone chemically amplified deep-UV resist

Wu-Song Huang; Ranee W. Kwong; Ahmad D. Katnani; Mahmoud Khojasteh

Similar to most of the reported positive deep-UV resists, this new resist is also a chemically amplified system. However, unlike other resists, this resist if resilient to airborne base contaminants and it shows stable resist linewidth with more than 24 hours delay between exposure and develop. This resist has high sensitivity (17-18 mJ/cm2), high contrast (7), high resolution (0.35 micrometers with (lambda) equals 248 nm and NA equals 0.37) and large process latitude in deep UV-lithography. This system does not require postexposure bake. When a postexposure bake is introduced, the linewidth variation is in the range of 2-3 nm per degree. This eliminates the concern of linewidth variation in resist images due to slight variation of postexposure baking temperature, which is commonly encountered in chemically amplified resist systems. This resist system is environmentally stable like conventional DQ system; at the same time, it retains most of the merits of chemically amplified system.


Microelectronic Engineering | 1994

New negative tone resists for subhalf micron lithography

Harbans S. Sachdev; Ranee Wai-Ling Kwong; Leo L. Linehan; Willard Earl Conley; Steve Seiichi Miura; Randolph Joseph Smith; Ahmad D. Katnani

Abstract New crosslinking type resist systems are described which use benzylic carbocation precursors carrying phenolic hydroxy group. The special feature of the new resists is the versatility of applications, ease of synthesis, high sensitivity, high contrast and a large process window. One such resist system has been used successfully in the back-end-of-the-line personalization for manufacturing advanced bipolar devices which required an exceptionally large process window. The new resist system is a promising candidate for surface imaging as well as for I-line, DUV and X-Ray applications.


Optical/Laser Microlithography V | 1992

Phase and transmission error study for the alternating-element (Levenson) phase-shifting mask

Ahmad D. Katnani; Burn Jeng Lin

Despite the potential of phase shifting masks to extend projection optical imaging to higher resolution or lower ki, several issues remain to be investigated before the technology can be fully used. This paper addresses the effects of phase and transmission errors on the alternating-element phase shifting method, otherwise known as the Levenson technique, for printing closely packed lines and spaces. Aerial image simulation is used to generate exposure-defocus trees and the normalized depth of focus k2 is used as the criteria based on given assumptions of linewidth tolerance and exposure allowance. Response surfaces are generated to define the tolerance limit for the phase and the transmission errors which affect both the depth of focus and the center focus. The dependency of these errors on ki, A, and NA are discussed.


Advances in resist technology and processing. Conference | 1997

Effects of crosslinking agent on lithographic performance of negative-tone resists based on poly(p-hydroxystyrene)

Qinghuang Lin; Ahmad D. Katnani; C. Grant Willson

The effects of crosslinking agent on the lithographic performance of negative-tone resists based on poly(p- hydroxystyrene) (PHOST) have been investigated. Two crosslinking agents were used in the model resist systems: tetramethoxymethyl glycouril and 2,6-bis(acetoxymethyl) p- cresol. The resist containing tetramethoxymethyl glycouril shows better lithographic performance than the one with 2,6- bis(acetoxymethyl) p-cresol including better resolution, higher sensitivity, larger dissolution contrast, and less resist loss in the exposed regions during development. To reveal the origin of the difference in the lithographic performance, 13C NMR, FT-IR and differential scanning calorimetry (DSC) were employed to elucidate the crosslinking mechanisms, thermally induced crosslinking, the resulting network structure, and the crosslinking kinetics of the PHOST reaction with the two crosslinking agents. 13C NMR studies of model compound reactions suggest that the crosslinking of PHOST by tetramethoxymethyl glycouril proceeds via O- alkylation of the phenolic ring in the polymer, whereas the crosslinking of PHOST by 2,6-bis(acetoxymethyl) p-cresol proceeds via C-alkylation at the ortho carbon position of the phenolic ring. FT-IR studies indicate that there exists significant thermally induced crosslinking in the resist using 2,6-bis(acetoxymethyl) p-cresol as the crosslinking agent, while there is no detectable thermal crosslinking in the resist with tetramethoxymethyl glycouril as the crosslinking agent. The FT-IR results also show that the extent of crosslinking depends heavily on the crosslinking agent loading and the processing conditions. The effects of crosslinking chemistry on the crosslinked network structure and the lithographic performance of the two resists are discussed.


Microelectronic Engineering | 1995

New negative tone resists for sub-quarter micron lithography

Harbans S. Sachdev; Ranee Wai-Ling Kwong; Wu-Song Huang; Ahmad D. Katnani; Krishna Gandi Sachdev

A new acid amplified negative tone resist system is described which utilizes the formation of tetrahydropyranyl ether group for the crosslinking reaction. In a three component system, the phenolic groups of the matrix resin add to the dihydropyranyl groups of the crosslinker in the imaged areas. N-sulfonyloxy triflate or triphenyl sulfonium triflate are used as photoacid generators. The resist has excellent sensitivity to DUV, E-Beam, and X-Ray and is also used for positive tone surface imaging.


Advances in Resist Technology and Processing XI | 1994

Environmentally stable chemically amplified DUV resist based on diazoketone chemistry

Premlatha Jagannathan; Wu-Song Huang; Ahmad D. Katnani; George Joseph Hefferon; Robert Lavin Wood

This paper describes a resist that uses a polymer bound diazoacetoacetate as a photoacid generator in a two component system. The diazoacetoacetate is not used merely as a photoactive component, but its utility is extended to function as a photoacid generator for deprotection chemistry. The carboxylic acid generated upon exposure deprotects carboxylic acid-labile groups bound to another polymer backbone. This scheme has led to a resist with excellent performance like lithographically useful photospeed, resolution, environmental stability and aqueous base solubility combined with wide process latitudes. The resist does not require a topcoat or additives for stabilization towards airborne contaminants. The photo acid is a weak acid and hence allows fairly high concentrations of the acid generator to be used which helps in reducing contamination effects. Furthermore, being bound to a polymer backbone, diffusion into unexposed regions is limited and therefore exhibits greater stability towards PEB delay effects. Loss from surface due to volatility is also reduced in a polymeric acid generator compared to low molecular weight, monomeric compounds.


23rd Annual International Symposium on Microlithography | 1998

Photoresists using tetrahydropyranyl- and tetrahydrofuranyl-protected styrene maleic anhydride half-ester polymers

Wu-Song Huang; Ratnam Sooriyakumaran; Ranee W. Kwong; Ahmad D. Katnani

Traditional I-line resists commonly use novolak as matrix resin and diazonaphthoquinone as photosensitizer. Novolak resins, however, can not be used in Deep-UV resist formulations because of their high optical absorption at 248 nm. When the lithography migrated to Deep-UV technology, polyvinylphenols (PVP), which has a low absorption at 248 nm, became the resin of choice. Styrene maleic anhydride polymers also have a low optical absorption at 248 nm and are commercially available with relatively lower cost than current polyvinylphenols. Therefore, we felt that styrene maleic anhydride polymers would be of interest for DUV resist applications. In our investigation, the styrene maleic anhydride copolymer was first reacted with methanol to form the half ester then was protected with tetrahydropyranyl and tetrahydrofuranyl groups using p- toluene sulfonic acid as catalyst. Since the protected polymers have no base soluble component, they exhibit high inhibition in TMAH developer. TGA analysis also shows these polymers having reasonable thermal stability with the onset of decomposition at temperature above 150 degree C. However the resists formulated with these polymers showed poor adhesion property. By blending PVP with these polymers in resist formulation, the adhesion problem was resolved and reasonable lithographic performance was obtained.

Researchain Logo
Decentralizing Knowledge