Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Nelson M. Felix is active.

Publication


Featured researches published by Nelson M. Felix.


Journal of Materials Chemistry | 2006

Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography

Seung Wook Chang; Ramakrishnan Ayothi; Daniel Bratton; Da Yang; Nelson M. Felix; Heidi B. Cao; Hai Deng; Christopher K. Ober

Extreme ultra violet (EUV) lithography is one of the most promising next generation lithographic techniques for the production of sub-50 nm feature sizes with applications in the semiconductor industry. Coupling this technique with molecular glass resists is an effective strategy for high resolution lithographic patterning. In this study, a series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarenes derivatives were synthesized and evaluated as positive tone molecular glass resists for EUV lithography. The amorphous nature of these molecules was confirmed using thermal analysis, FTIR and powder X-ray diffraction. Feature sizes as small as 30 nm with low line edge roughness (4.5 nm, 3σ) were obtained after patterning and development.


Journal of Vacuum Science & Technology B | 2004

Towards all-dry lithography: Electron-beam patternable poly(glycidyl methacrylate) thin films from hot filament chemical vapor deposition

Yu Mao; Nelson M. Felix; Peter T. Nguyen; Christopher K. Ober; Karen K. Gleason

Chemical vapor deposition (CVD) of resist thin films is a dry processing alternative to the conventional spin casting of resists. However, the sensitivity and resolution of plasma CVD resists are limited due to the crosslinked structure in the deposited films. In this study, we demonstrated hot filament chemical vapor deposition (HFCVD) of poly(glycidyl methacrylate) (PGMA) thin films with improved sensitivity and resolution under electron-beam irradiation. We also demonstrated supercritical CO2 development of the HFCVD PGMA thin films, which indicates the potential for an “all-dry” lithographic process. The pendent epoxide groups were retained in the low-energy HFCVD process, and linear polymeric structure was achieved. The HFCVD PGMA films have an electron-beam sensitivity of 27μC∕cm2 using conventional development and an electron-beam sensitivity of 15μC∕cm2 using supercritical CO2 development. Decreasing film number-average molecular weight (Mn) decreases sensitivity but improves resolution by allevia...


Proceedings of SPIE | 2006

Molecular glass resists for next-generation lithography

Daniel Bratton; Ramakrishnan Ayothi; Nelson M. Felix; Heidi Cao; Hai Deng; Christopher K. Ober

In order to meet the growing demand of the electronics industry for smaller, higher resolution features much recent attention has focused on next generation lithographic techniques, such as Extreme Ultraviolet (EUV) or e-beam lithography. Complementary to this field of research is the design of the next generation of photoresists to produce sub 50 nm feature sizes. Chemically amplified molecular glass resists are among the most promising alternatives to traditional polymeric materials. These materials are monodisperse, amorphous organic molecules which lead to high resolution patterns with low line edge roughness owing to their small size and lack of chain entanglement. In this submission, we describe our work in the development of molecular glass resists. The materials are designed with rigid cores, to ensure high Tg, and with bulky side groups to inhibit crystallization. We show that these materials are capable of producing high resolution feature sizes and show great promise in meeting the demands of emerging next-generation lithographic techniques.


Advances in resist technology and processing. Conference | 2005

Materials for future lithography

Seung Wook Chang; Da Yang; Junyan Dai; Nelson M. Felix; Daniel Bratton; Kousuke Tsuchiya; Young-Je Kwark; Juan-Pablo Bravo-Vasquez; Christopher K. Ober; Heidi B. Cao; Hai Deng

The demands for high resolution and issues of line edge roughness require a reconsideration of current resist design strategies. In particular, EUV lithography will provide an opportunity to examine new resist concepts including new elemental compositions and low molar mass resists or molecular resists. In the former case, resist compositions incorporating elements such as silicon and boron have been explored for EUV resists and will be described. In an example of the latter case, molecular glass resists have been designed using synthetic architectures in globular and core-arm forms ranging from one to multiple arms. Moreover, our studies include a series of ring and irregularly shaped small molecules modified to give imaging performance. These materials have been explored to improve line edge roughness (LER) compared to common polymer resists. Several examples of polymeric and molecular glass resists will be described. Several compositions showed high glass transition temperatures (Tg) of ~ 120°C and possessed no crystallinity as seen from XRD studies. Negative-tone molecular glass resists with a T-shaped phenolic core structure, 4-[4-[1,1-Bis(4-hydroxyphenyl)ethyl]]-α,α-dimethylbenzylphenol, have demonstrated feature sizes as small as 50mn. Similarly, negative-tone images made using spiro-based compounds showed feature size as small as 60nm in lines/space patterns using e-beam lithography. Most recently we have demonstrated that fully and partially tert-butoxycarbonyl (t-Boc) protected calix[4]resorcinarene derivatives can be successfully studied as a positive-tone resist using EUV and E-beam lithography. Resolution as low as 35nm was obtained by EUV exposure.


Journal of Materials Chemistry | 2007

Dissolution phenomena of phenolic molecular glass photoresist films in supercritical CO2

Nelson M. Felix; Anuja De Silva; Camille Man Yin Luk; Christopher K. Ober

The dissolution characteristics of small molecule photoresist films in supercritical CO2 are measured using laser interferometry. These small molecule photoresists, referred to as molecular glasses, have shown impressive CO2 solubility in recent reports, and in order to understand this behavior the structure–property relationships that control thin-film dissolution of phenolic molecular glasses are explored. Fully tert-butoxycarbonyl-protected versions of these molecular glasses are tested, and they show relatively fast (>500 nm min−1) dissolution rates that depend primarily on molecular weight and not on the number of carbonyl groups. Material glass transition temperature also plays a role, as those films that are not sufficiently plasticized by the supercritical CO2 have much slower dissolution rates than films of comparable molecular size and type. Small molecules containing up to two unprotected polar hydroxyl groups still show significant dissolution rates in supercritical CO2.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2016

Study of alternate hardmasks for extreme ultraviolet patterning

Anuja De Silva; Indira Seshadri; Abraham Arceo; Karen Petrillo; Luciana Meli; Brock Mendoza; Yiping Yao; Michael P. Belyansky; Scott Halle; Nelson M. Felix

Traditional patterning stacks for deep ultraviolet patterning have been based on a trilayer scheme with an organic planarizing layer, silicon antireflective coating or organic bottom antireflective coating, and photoresist. At an extreme ultraviolet (EUV) wavelength, there is no longer a need for reflectivity control. This offers an opportunity to look at different types of underlayers for patterning at sub-36 nm pitch length scales. An alternate hardmask can be used to develop a low aspect ratio patterning stack that can enable a larger process window at sub-36 nm pitch resolution. The hardmask layer under the resist has the potential for secondary electron generation at the resist/hardmask interface to improve resist sensitivity. This work explores EUV patterning on deposited hardmasks of various types such as silicon oxides and metal hardmasks. It also details the challenges of patterning directly on an alternate underlayer and approaches for improving patterning performance on such layers.


Journal of Materials Chemistry | 2009

Solid state NMR investigation of photoresist molecular glasses including blend behavior with a photoacid generator

David L. VanderHart; Vivek M. Prabhu; Anuja De Silva; Nelson M. Felix; Christopher K. Ober

We have examined four molecular glass (MG) materials that show promise as photoresists for extreme-ultraviolet (EUV) lithography. These glass-forming materials were investigated by proton and 13C solid state nuclear magnetic resonance (NMR) techniques in the bulk state as pure materials and as mixtures with (5 or 10) % by mass of the photoacid generator (PAG), triphenylsulfonium perfluorobutanesulfonate. The 13C techniques gave information about crystallinity, purity, and the qualitative existence of multiple phases. Proton studies focused on using spin diffusion to characterize the intimacy of mixing of the PAG and MG blends. The four MGs were largely aromatic materials containing several hydroxyl groups that were partially protected by t-butoxycarbonyl (t-BOC) groups. In two cases, this fraction was varied and the impact on mixing noted. Phase separation of the PAG into PAG-rich larger domains was never seen; the PAG was always finely distributed and the maximum size for any PAG clustering was estimated; however, in some cases, the average local concentration of PAG appeared to vary. Crystallinity was only seen associated with the underivatized materials implying that the mixing of the PAG with any derivatized MG was not restricted by crystallization. It was also noted that some very strong hydrogen bonds exist in three of the four underivatized materials and were eliminated or weakened upon partial derivatization with t-BOC.


Proceedings of SPIE | 2008

New Architectures for High Resolution Patterning

Anuja De Silva; Nelson M. Felix; Drew C. Forman; Jing Sha; Christopher K. Ober

The ability of the semiconductor industry to reduce device dimensions below 45 nm is hindered by limitations in both resist material and processing techniques. High resolution and sensitivity along with low line edge roughness are key requirements of next generation resist materials. In order to meet future demands of the semiconductor industry, new resist design strategies are being considered. In the past few years, we have focused on developing small molecule resists capable of high resolution patterning. Despite their small size, these molecules known as molecular glasses can be designed to demonstrate high glass transition temperature (Tg) comparable to polymeric resists. Several ring and branched architectures with high Tg values that have attained feature resolution as small as 30nm through Extreme Ultraviolet (EUV) exposure will be discussed. In addition to potential performance advantages, the small size of these resist molecules also allows solvent free processing techniques to be utilized. Our efforts on physical vapor deposition and supercritical CO2 development of molecular glass resists will also be highlighted. Furthermore, we are also investigating hybrid resist materials by combining short polymeric arms with various molecular glass cores. These innovative architectures are being explored at 193nm wavelength through fundamental structure - property analysis.


Proceedings of SPIE | 2007

Topography induced defocus with a scanning exposure system

Bernhard R. Liegl; Nelson M. Felix; Colin J. Brodsky; David M. Dobuzinsky

Our case study experimentally gauges the defocus component induced by a step in the exposure field substrate, with the edge of the step aligned parallel to the scanning slit. Such steps frequently occur at the border of different chiplets or process monitors within one exposure field. A common assumption is that a step-and-scan imaging system can correct for the majority of such topography, since the wafer is dynamically leveled under the static image plane as it is scanned. Our results show that the range of defocus approaches about 85% of the actual step height and thus contributes significantly to the overall focusing variance. This area on the wafer in which defocus can be observed extends by more than 3mm to both sides of the step. In the same area a degradation of imaging fidelity can be observed in the form of exaggerated proximity effects.


Rapid Communications in Mass Spectrometry | 2009

Quantitative measurement of the polydispersity in the extent of functionalization of glass‐forming calix[4]resorcinarenes

William E. Wallace; Kathleen M. Flynn; Charles M. Guttman; David L. VanderHart; Vivek M. Prabhu; A. De Silva; Nelson M. Felix; Christopher K. Ober

The polydispersity in the degree of functionalization for two calix[4]resorcinarenes was determined by measuring quantitatively their molecular mass distribution with matrix-assisted laser desorption/ionization time-of-flight mass spectrometry. A mathematical method for polydisperse materials is described that creates a calibration curve to correct the ion signal intensities in the mass spectrum to give a more reliable molecular mass distribution. Correction is required due to various sample preparation and instrumental effects that may produce a systematic mass bias in the number of oligomers measured. This method employs gravimetric mixtures of analytes with different degrees of functionalization. One calix[4]resorcinarene was found to give accurate molecular mass distributions with little correction, while another, having a very similar molecular structure, was found to exhibit strong over-counting of the oligomers having a high degree of functionalization.

Collaboration


Dive into the Nelson M. Felix's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Vivek M. Prabhu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

David L. VanderHart

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kousuke Tsuchiya

Tokyo University of Agriculture and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge