Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Anuja De Silva is active.

Publication


Featured researches published by Anuja De Silva.


Proceedings of SPIE | 2016

EUV patterning successes and frontiers

Nelson Felix; Dan Corliss; Karen Petrillo; Nicole Saulnier; Yongan Xu; Luciana Meli; Hao Tang; Anuja De Silva; Bassem Hamieh; Martin Burkhardt; Yann Mignot; Richard Johnson; Christopher F. Robinson; Mary Breton; Indira Seshadri; Derren Dunn; Stuart A. Sieg; Eric R. Miller; Genevieve Beique; Andre Labonte; Lei Sun; Geng Han; Erik Verduijn; Eunshoo Han; Bong Cheol Kim; Jongsu Kim; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer

The feature scaling and patterning control required for the 7nm node has introduced EUV as a candidate lithography technology for enablement. To be established as a front-up lithography solution for those requirements, all the associated aspects with yielding a technology are also in the process of being demonstrated, such as defectivity process window through patterning transfer and electrical yield. This paper will review the current status of those metrics for 7nm at IBM, but also focus on the challenges therein as the industry begins to look beyond 7nm. To address these challenges, some of the fundamental process aspects of holistic EUV patterning are explored and characterized. This includes detailing the contrast entitlement enabled by EUV, and subsequently characterizing state-of-the-art resist printing limits to realize that entitlement. Because of the small features being considered, the limits of film thinness need to be characterized, both for the resist and underlying SiARC or inorganic hardmask, and the subsequent defectivity, both of the native films and after pattern transfer. Also, as we prepare for the next node, multipatterning techniques will be validated in light of the above, in a way that employs the enabling aspects of EUV as well. This will thus demonstrate EUV not just as a technology that can print small features, but one where all aspects of the patterning are understood and enabling of a manufacturing-worthy technology.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Defect detection strategies and process partitioning for SE EUV patterning (Conference Presentation)

Luciana Meli; Karen Petrillo; Anuja De Silva; John C. Arnold; Nelson Felix; Christopher F. Robinson; Benjamin D. Briggs; Shravan Matham; Yann Mignot; Jeffrey Shearer; Bassem Hamieh; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer; Eric Liu; Ko Akiteru; Shinichiro Kawakami; Takeshi Shimoaoki; Yusaku Hashimoto; Hiroshi Ichinomiya; Akiko Kai; Koichiro Tanaka; Ankit Jain; Heungsoo Choi; Barry Saville; Chet Lenox

The key challenge for enablement of a 2nd node of single-expose EUV patterning is understanding and mitigating the patterning-related defects that narrow the process window. Typical in-line inspection techniques, such as broadband plasma (291x) and e-beam systems, find it difficult to detect the main yield-detracting defects post-develop, and thus understanding the effects of process improvement strategies has become more challenging. New techniques and methodologies for detection of EUV lithography defects, along with judicious process partitioning, are required to develop process solutions that improve yield. This paper will first discuss alternative techniques and methodologies for detection of lithography-related defects, such as scumming and microbridging. These strategies will then be used to gain a better understanding of the effects of material property changes, process partitioning, and hardware improvements, ultimately correlating them directly with electrical yield detractors .


Proceedings of SPIE | 2017

Driving down defect density in composite EUV patterning film stacks

Luciana Meli; Karen Petrillo; Anuja De Silva; John C. Arnold; Nelson Felix; Richard Johnson; Cody Murray; Alex Hubbard; Danielle Durrant; Koichi Hontake; Lior Huli; Corey Lemley; Dave Hetzer; Shinichiro Kawakami; Koichi Matsunaga

Extreme ultraviolet lithography (EUVL) technology is one of the leading candidates for enabling the next generation devices, for 7nm node and beyond. As the technology matures, further improvement is required in the area of blanket film defectivity, pattern defectivity, CD uniformity, and LWR/LER. As EUV pitch scaling approaches sub 20 nm, new techniques and methods must be developed to reduce the overall defectivity, mitigate pattern collapse and eliminate film related defect. IBM Corporation and Tokyo Electron Limited (TELTM) are continuously collaborating to develop manufacturing quality processes for EUVL. In this paper, we review key defectivity learning required to enable 7nm node and beyond technology. We will describe ongoing progress in addressing these challenges through track-based processes (coating, developer, baking), highlighting the limitations of common defect detection strategies and outlining methodologies necessary for accurate characterization and mitigation of blanket defectivity in EUV patterning stacks. We will further discuss defects related to pattern collapse and thinning of underlayer films.


Photomask Technology 2013 | 2013

Under-layer effects for block levels: are they under control?

Dongbing Shao; Bidan Zhang; Shayak Banerjee; Hong Kry; Anuja De Silva; Ranee Kwong; Kisup Chung; Yea-Sen Lin; Alan Leslie

Challenges in block levels due to the dilemma of cost control and under-layer effects have been addressed in several papers already, and different approaches to solve the issue have been addressed. Among the known approaches, developable BARC and under-layer aware modeling are the most promising. However, in this paper we will discuss and explain the limitation inefficiency of both methods. In addition, as more block levels are employing etching step, the under-layer dependent etch behavior that we see in some of the block levels is also discussed. All these place great challenges for block level process development. We discuss here possible solutions/improvements including: developable BARC (dBARC) thickness optimization for specific under layers; Simplified model based corrections for lith and etch. This work was performed at the IBM Microelectronics Div, Semiconductor Research and Development Center, Hopewell Junction, NY 12533


Proceedings of SPIE | 2011

Hexafluoroalcohol (HFA) containing molecular resist materials for high-resolution lithographic applications

Anuja De Silva; Linda K. Sundberg; Ratnam Sooriyakumaran; Luisa D. Bozano; Greg Breyta; William D. Hinsberg; Masaki Fujiwara

Molecular glass resists have gained attention for the past decade as a potential platform for high resolution lithography. Several molecular resist materials based on the calix[4]resorcinarene system have been developed. Though this molecular system is very versatile, there are several challenges with the synthesis and processing of these materials. The difficulty to synthesize a monodipserse unit, the poor solubility in casting solvents and incompatibility with conventional developer are some noted challenges. We have addressed these issues by designing a new calix[4]resorcinarene resist material with hexafluro alcohol (HFA) units. The resist platform has been evaluated with e-beam and EUV lithography.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Inorganic hardmask development for EUV patterning

Anuja De Silva; Ashim Dutta; Luciana Meli; Yiping Yao; Yann Mignot; Jing Guo; Nelson Felix

Extreme ultra violet (EUV) patterning offers an opportunity to explore new hardmask materials and patterning approaches. Traditional patterning stacks for Deep UV (DUV) patterning have been based on optimizing multi-layer schemes for reflectivity control and pattern transfer. At EUV wavelength, the patterning challenges are dominated by stochastics and aspect ratio control. This offers an opportunity to think differently about underlayer design for sub-36nm pitch patterning. The choice of hardmask can be used to modulate post-litho defectivity to mitigate the stochastics effects and enable more efficient pattern transfer. Through different case studies this paper will explore a range of silicon-based inorganic hardmasks for sub36nm EUV patterning. How film properties dominate patterning performance will be studied systematically. The relative merits of patterning a chemically amplified organic resist directly on an inorganic hardmask or having different types of organic adhesion promoters as an intermediate layer will be also be presented.


Advances in Patterning Materials and Processes XXXV | 2018

Polymer brush as adhesion promoter for EUV patterning

Jing Guo; Anuja De Silva; Yann Mignot; Yongan Xu; Abraham A. de la Peña; Luciana Meli; Indira Seshadri; Dominik Metzler; Lovejeet Singh; Tsuyoshi Furukawa; Ramakrishnan Ayothi; Nelson Felix; Dan Corliss

Current EUV lithography pushes photoresist thickness reduction to sub-30 nm in order to meet resolution targets and mitigate pattern collapse. In order to maintain the etch budgets in hard mask open, the adhesion layer in between resist and hard mask has to scale accordingly. We have reported a grafted polymer brush adhesion layer used in an ultrathin EUV patterning stack and demonstrated sub-36 nm pitch features with significant improvement over existing adhesion promotion techniques [1]. This paper provides further understanding of this class of materials from a fundamental point of view. We first propose a hypothesis of the adhesion mechanism, and probe key factors that could affect adhesion performance. The grafting kinetics study of polymer brush that contains different functional groups to the substrate shows grafting chemistry, time, and temperature are key factors that affect the printing performance. We then conduct a systematic study to understand printing capability at various pitches for different silicon-based substrates. By comparing the process window, we gain comprehensive understanding of the printing limits and failing modes with this approach. We provide a comparative study of a grafted adhesion layer vs. a conventional spin on BARC type material, including defectivity. Pattern transfer to hard mask with varied etch chemistry is conducted to understand the performance of polymer brush during etch.


Proceedings of SPIE | 2017

Development of TiO2 containing hardmasks through PEALD deposition

Anuja De Silva; Indira Seshadri; Kisup Chung; Abraham Arceo; Luciana Meli; Brock Mendoza; Yasir Sulehria; Yiping Yao; Madhana Sunder; Hao Truong; Shravan Matham; Ruqiang Bao; Heng Wu; Nelson Felix; Sivananda K. Kanakasabapathy

With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.


Proceedings of SPIE | 2017

Fundamentals of EUV resist-inorganic hardmask interactions

Dario L. Goldfarb; Martin Glodde; Anuja De Silva; Indira Sheshadri; Nelson Felix; Krystelle Lionti; Teddie Magbitang

High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.


Journal of Micro-nanolithography Mems and Moems | 2017

Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

Anuja De Silva; Indira Seshadri; Kisup Chung; Abraham Arceo; Luciana Meli; Brock Mendoza; Yasir Sulehria; Yiping Yao; Madhana Sunder; Hoa Truong; Shravan Matham; Ruqiang Bao; Heng Wu; Nelson Felix; Sivananda K. Kanakasabapathy

Abstract. With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

Researchain Logo
Decentralizing Knowledge