Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Nobuhiro Okai is active.

Publication


Featured researches published by Nobuhiro Okai.


Japanese Journal of Applied Physics | 2012

Study on Image Drift Induced by Charging during Observation by Scanning Electron Microscope

Nobuhiro Okai; Yasunari Sohda

The mechanism of image drift in the observation of a boundary between a metal and an insulator by scanning electron microscope (SEM) is clarified by electron-trajectory simulation and experiment. In the region involving a straight boundary between a large-area metal layer and an insulating substrate, the largest image drift is expected to be observed owing to an asymmetric charging on the sample surface. The simulation result shows that a metal–insulator boundary in the SEM image shifts toward the metal part over several seconds, which is induced by a positively charged area outside of the irradiation region in the insulator part. This simulation result is confirmed to qualitatively coincide with the experimental one. In addition, we demonstrate that the direction and magnitude of the image drift can be controlled by changing the charging voltage of the insulating substrate by applying a bias voltage to the anode facing the sample surface.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2012

Simulation of electron scattering in a scanning electron microscope for subsurface metrology

Nobuhiro Okai; Yasunari Sohda

Electron scattering on a flat sample with a subsurface structure was simulated to investigate the signal profile and critical dimension (CD) measured by scanning electron microscope (SEM). The authors modified an electron-scattering simulator, monsel, which was developed by the National Institute of Standards and Technology for applications to line-width metrology using CD-SEM, to simulate a flat tungsten (W) and silicon (Si) pattern under a nanometer-order-thick amorphous carbon (a-C) film and to classify the emitted electrons from the sample according to their generation processes. The simulation result shows that the material contrast between W and Si regions for measuring backscattered electrons (BSEs) is larger than that for measuring secondary electrons (SEs), though the yield of BSEs is lower than that of SEs. The low contrast given by the SE profile is attributed to the contribution of SEs generated from the a-C film by the incident electrons, which becomes an offset component. In contrast, the of...


Journal of Micro-nanolithography Mems and Moems | 2016

Improvement of optical proximity-effect correction model accuracy by hybrid optical proximity-effect correction modeling and shrink correction technique for 10-nm node process

Keiichiro Hitomi; Scott Halle; Marshal Miller; Ioana Graur; Nicole Saulnier; Derren Dunn; Nobuhiro Okai; Shoji Hotta; Atuko Yamaguchi; Hitoshi Komuro; Toru Ishimoto; Shunsuke Koshihara; Yutaka Hojo

Abstract. The model accuracy of optical proximity-effect correction (OPC) was investigated by two modeling methods for a 10-nm node process. The first method is to use contours of two-dimensional structures extracted from critical dimension-scanning electron microscope (CD-SEM) images combined with conventional CDs of one-dimensional structures. The accuracy of this hybrid OPC model was compared with that of a conventional OPC model, which was created with only CD data, in terms of root-mean-square (RMS) error for metal and contact layers of 10-nm node logic devices. Results showed improvement of model accuracy with the use of hybrid OPC modeling by 23% for contact layer and 18% for metal layer, respectively. The second method is to apply a correction technique for resist shrinkage caused by CD-SEM measurement to extracted contours for improving OPC model accuracy. The accuracy of OPC model with shrink correction was compared with that without shrink correction, and total RMS error was decreased by 12% by using the shrink correction technique. It can be concluded that the use of CD-SEM contours and the shrink correction of contours are effective to improve the accuracy of OPC model for the 10-nm node process.


Japanese Journal of Applied Physics | 2011

Charge Modeling for Metal Layer on Insulating Substrate

Nobuhiro Okai; Tasuku Yano; Yasunari Sohda

A charging model for magnification variation in the observation of a metal pattern on an insulating substrate using a scanning electron microscope is proposed. To calculate the time evolution of charging, we replace electron trajectory with current. Negative charging of the metal layer is observed and is caused by the current from the anode, which is set above the sample, to the metal layer. The origin of the current is tertiary electrons produced by backscattered electrons colliding with the anode. By controlling tertiary-electron trajectories through the application of bias voltage to the anode, the magnification variation can be reduced to almost zero.


Journal of Micro-nanolithography Mems and Moems | 2016

Methodology for determining critical dimension scanning electron microscope measurement condition of sub-20 nm resist patterns for 0.33 NA extreme ultraviolet lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Atsuko Yamaguchi; Junichi Tanaka; Todd C. Bailey

Abstract. A methodology to determine the optimum measurement condition of extreme ultraviolet (EUV) resist patterns in a critical dimension scanning electron microscope has been established. Along with many parameters that need to be optimized simultaneously, there are conflicting requirements of small resist shrinkage and high measurement precision. To overcome these difficulties, we have developed a methodology for ArF resist patterns from shrinkages and precisions predicted by the Taguchi method. In this study, we examined the extendibility of the methodology to sub-20 nm EUV resist patterns. The predicted shrinkage by the Taguchi method for an 18 nm EUV resist pattern showed a large prediction error due to its different dependence on acceleration voltage from ArF, so we used the shrinkage curve to predict shrinkage instead of the Taguchi method, as shrinkage depends only on irradiated electron dose. In contrast, precision can be predicted well by the Taguchi method as with ArF. We propose a methodology that consists of separate prediction procedures for shrinkage and precision using the shrinkage curve and Taguchi method, respectively. The proposed method was applied to an 18-nm EUV resist pattern. The optimum measurement condition with shrinkage of 1.5 nm and precision of 0.12 nm was determined.


Proceedings of SPIE | 2015

Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Junichi Tanaka; Todd C. Bailey

A novel methodology was established for determining critical dimension scanning electron microscope (CD-SEM) optimum measurement condition of sub-20 nm resist patterns for 0.33NA EUV lithography yielding both small shrinkage and high precision. To investigate dependency of resist shrinkage on pattern size and electron beam irradiation condition, shrinkage of 18, 32, and 45 nm EUV resist patterns was measured over a wide range of beam conditions. A shrinkage trend similar to that of ArF resist patterns was observed for 32 and 45 nm, but 18 nm pattern showed a different dependence on acceleration voltage. Conventional methodology developed for ArF resist pattern to predict shrinkage and precision using the Taguchi method was applied to EUV resist pattern to examine the extendibility of the method. Predicted shrinkage by Taguchi method for 32 and 45 nm patterns agreed with measurements. However, the prediction error increases considerably as the pattern size decreases from 32 to 18 nm because there is a significant interaction between acceleration voltage and irradiated electron dose in L18 array used in the Taguchi method. Thus, we proposed a new method that consists of separated prediction procedures of shrinkage and precision using both a shrinkage curve and the Taguchi method, respectively. The new method was applied to 18 nm EUV resist pattern, and the optimum measurement condition with shrinkage of 1.5 nm and precision of 0.12 nm was determined. Our new method is a versatile technique which is applicable not only to fine EUV resist pattern but also to ArF resist pattern.


Proceedings of SPIE | 2014

Hybrid OPC modeling with SEM contour technique for 10nm node process

Keiichiro Hitomi; Scott Halle; Marshal Miller; Ioana Graur; Nicole Saulnier; Derren Dunn; Nobuhiro Okai; Shoji Hotta; Atsuko Yamaguchi; Hitoshi Komuro; Toru Ishimoto; Shunsuke Koshihara; Yutaka Hojo

Hybrid OPC modeling is investigated using both CDs from 1D and simple 2D structures and contours extracted from complex 2D structures, which are obtained by a Critical Dimension-Scanning Electron Microscope (CD-SEM). Recent studies have addressed some of key issues needed for the implementation of contour extraction, including an edge detection algorithm consistent with conventional CD measurements, contour averaging and contour alignment. Firstly, pattern contours obtained from CD-SEM images were used to complement traditional site driven CD metrology for the calibration of OPC models for both metal and contact layers of 10 nm-node logic device, developed in Albany Nano-Tech. The accuracy of hybrid OPC model was compared with that of conventional OPC model, which was created with only CD data. Accuracy of the model, defined as total error root-mean-square (RMS), was improved by 23% with the use of hybrid OPC modeling for contact layer and 18% for metal layer, respectively. Pattern specific benefit of hybrid modeling was also examined. Resist shrink correction was applied to contours extracted from CD-SEM images in order to improve accuracy of the contours, and shrink corrected contours were used for OPC modeling. The accuracy of OPC model with shrink correction was compared with that without shrink correction, and total error RMS was decreased by 0.2nm (12%) with shrink correction technique. Variation of model accuracy among 8 modeling runs with different model calibration patterns was reduced by applying shrink correction. The shrink correction of contours can improve accuracy and stability of OPC model.


Proceedings of SPIE | 2014

Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Karen Petrillo; Atsuko Yamaguchi; Junichi Tanaka; Todd C. Bailey

Evaluation of resist shrinkage and precision by critical dimension scanning electron microscope (CD-SEM) for EUV resist patterns at around 20 nm exposed by 0.33 NA EUV tool was conducted. To investigate interaction between EUV resist and electron beam, an accurate and fast measurement method of resist shrinkage was established. Our method can avoid saturation of shrinkage at large dose conditions which was a demerit in conventional method. By applying the new method, pattern size dependence of shrinkage was measured with various line and space (L/S) patterns down to 20 nm. The result shows that resist shrinkage of fine L/S EUV resist pattern largely depends on line width rather than space width. A well-known trade-off relationship between shrinkage and precision was observed for EUV resist pattern as well as ArF resist pattern. Shrinkage of 1.6 nm and precision of 0.13 nm for 18 nm EUV resist pattern were obtained at a typical CD-SEM condition. We also measured shrinkage and precision for a dense L/S pattern at various exposure focus and dose conditions using a FEM wafer to examine the impact of process variability. To investigate the influence of EUV shadowing effect, we measured them for both horizontal and vertical patterns at different slit locations in exposure field. No systematic change of shrinkage and precision was observed through exposure focus and dose in the process window across slit location for both horizontal and vertical L/S patterns.


Archive | 2008

Method and apparatus for inspecting reticle

Nobuhiro Okai; Shinji Okazaki; Yasunari Sohda; Yoshinori Nakayama


Archive | 2011

Charged particle beam microscope

Nobuhiro Okai; Yasunari Sohda; Junichi Tanaka

Collaboration


Dive into the Nobuhiro Okai's collaboration.

Researchain Logo
Decentralizing Knowledge