Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Keiichiro Hitomi is active.

Publication


Featured researches published by Keiichiro Hitomi.


Japanese Journal of Applied Physics | 2008

Study of Measurement Condition Optimization in Critical Dimension-Scanning Electron Microscope

Keiichiro Hitomi; Yoshinori Nakayama; Hiromasa Yamanashi; Yasunari Sohda; Hiroki Kawada

The critical-dimension scanning electron microscope (CD-SEM) is an essential tool for semiconductor fabrication process control because of its high resolution and high precision. However, in ArF lithography, the CD of resist changes during CD-SEM measurement due to shrinkage caused by the electron beam irradiation. This shrinkage can be reduced by measurement parameters; however, there is a trade-off relationship between shrinkage and precision. Thus, measuring the CD of an ArF resist pattern precisely with small shrinkage is difficult. The authors propose an optimization method using the Taguchi method. Four measurement parameters were chosen as control factors for an L18 orthogonal array: probe current, acceleration voltage, horizontal length of field-of-view, and number of image acquisitions. As a result, high prediction accuracy was obtained that is smaller than 0.2 nm for shrinkage and 0.1 nm for precision. Moreover, an optimum measurement condition that achieves 0.28 nm shrinkage and 0.37 nm precision was also obtained. Thus, the proposed method was demonstrated as a promising method to optimize CD measurement parameters.


Journal of Micro-nanolithography Mems and Moems | 2016

Improvement of optical proximity-effect correction model accuracy by hybrid optical proximity-effect correction modeling and shrink correction technique for 10-nm node process

Keiichiro Hitomi; Scott Halle; Marshal Miller; Ioana Graur; Nicole Saulnier; Derren Dunn; Nobuhiro Okai; Shoji Hotta; Atuko Yamaguchi; Hitoshi Komuro; Toru Ishimoto; Shunsuke Koshihara; Yutaka Hojo

Abstract. The model accuracy of optical proximity-effect correction (OPC) was investigated by two modeling methods for a 10-nm node process. The first method is to use contours of two-dimensional structures extracted from critical dimension-scanning electron microscope (CD-SEM) images combined with conventional CDs of one-dimensional structures. The accuracy of this hybrid OPC model was compared with that of a conventional OPC model, which was created with only CD data, in terms of root-mean-square (RMS) error for metal and contact layers of 10-nm node logic devices. Results showed improvement of model accuracy with the use of hybrid OPC modeling by 23% for contact layer and 18% for metal layer, respectively. The second method is to apply a correction technique for resist shrinkage caused by CD-SEM measurement to extracted contours for improving OPC model accuracy. The accuracy of OPC model with shrink correction was compared with that without shrink correction, and total RMS error was decreased by 12% by using the shrink correction technique. It can be concluded that the use of CD-SEM contours and the shrink correction of contours are effective to improve the accuracy of OPC model for the 10-nm node process.


Proceedings of SPIE | 2012

Methodology for establishing CD-SEM robust metrology algorithm for development cycles applications

Keiichiro Hitomi; Erin Lavigne; Shoji Hotta; Yoshinori Momonoi; Matthew E. Colburn; Atsuko Yamaguchi; Katsuhiro Sasada; Tatsuya Maeda

ArF lithography is still the main technology in the most advanced processes of semiconductor fabrication. Being able to reliably measure and characterize these lithographic processes in-depth is becoming more and more critical. Critical Dimension-Scanning Electron Microscope (CD-SEM) continues to be the work horse tool for both in-line critical dimension (CD) metrology and characterization of ArF photoresist pattern. CD shrink of ArF photoresist has been one of the major challenges for CD-SEM metrology, and it becomes more difficult to measure shrinkage accurately for smaller feature size than ~50nm. The authors have developed a new measurement technique of photoresist shrinkage which measures CD difference between shrunk and non-shrunk sites after etching. There are many imaging and image processing parameters in CD-SEM which need to be optimized to obtain small shrinkage and good precision. There is a trade-off relationship between shrinkage and precision, and a comprehensive and systematic methodology is required for optimization of parameters. The authors have developed an optimization method that uses Taguchi method, where only 18 experiments are required. We can predict shrinkage, precision and relative CD offset for any combination of measurement parameter settings used in the 18 experiments by Taguchi method, and these predicted data can be used for optimization. A new concept of secondary reference metrology is also introduced in this paper to reduce the number of measurement by a reference metrology tool.


Proceedings of SPIE | 2012

Dose-focus monitor technique using CD-SEM and application to local variation analysis

Shoji Hotta; Timothy A. Brunner; Scott Halle; Keiichiro Hitomi; Takeshi Kato; Atsuko Yamaguchi

A dose-focus monitoring technique using critical dimension scanning electron microscope (CD-SEM) is studied for onproduct applications. Our technique uses two target structures; one is a dense grating structure with iso-focal pitch for dose determination, and the other is a relatively isolated line grating with no assists for focus determination. The small sizes of these targets enable us to monitor dose and focus variations across the chip on a product wafer. The model which describes how the top and bottom CD depend on dose and focus deviations is the same as that for scatterometry dose-focus metrology, and monitoring precision is estimated to be the order of 1% for dose and 10~15nm for focus. The method has strong potential to apply to dose and focus monitoring of product wafers. By using a mask with a multitude of these targets, it is possible to study dose and focus variations across the wafer in great detail. The focus variation of pairs of such targets is measured for various separations between the two targets. As the separation distance increases from ~100μm to ~10mm, the focus variation increases from 10nm to 25nm. We think that the true focus variation between targets becomes near zero at the small separation distance, while the focus variation increases as separation distance increases because more variation sources such as wafer thickness variation are included at larger separation distances. Our small CD-SEM targets allow us to explore this kind of local spatial variation analysis.


Journal of Micro-nanolithography Mems and Moems | 2016

Methodology for determining critical dimension scanning electron microscope measurement condition of sub-20 nm resist patterns for 0.33 NA extreme ultraviolet lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Atsuko Yamaguchi; Junichi Tanaka; Todd C. Bailey

Abstract. A methodology to determine the optimum measurement condition of extreme ultraviolet (EUV) resist patterns in a critical dimension scanning electron microscope has been established. Along with many parameters that need to be optimized simultaneously, there are conflicting requirements of small resist shrinkage and high measurement precision. To overcome these difficulties, we have developed a methodology for ArF resist patterns from shrinkages and precisions predicted by the Taguchi method. In this study, we examined the extendibility of the methodology to sub-20 nm EUV resist patterns. The predicted shrinkage by the Taguchi method for an 18 nm EUV resist pattern showed a large prediction error due to its different dependence on acceleration voltage from ArF, so we used the shrinkage curve to predict shrinkage instead of the Taguchi method, as shrinkage depends only on irradiated electron dose. In contrast, precision can be predicted well by the Taguchi method as with ArF. We propose a methodology that consists of separate prediction procedures for shrinkage and precision using the shrinkage curve and Taguchi method, respectively. The proposed method was applied to an 18-nm EUV resist pattern. The optimum measurement condition with shrinkage of 1.5 nm and precision of 0.12 nm was determined.


Proceedings of SPIE | 2015

Application of SEM-based contours for OPC model weighting and sample plan reduction

Marshal Miller; Keiichiro Hitomi; Scott Halle; Ioana Graur; Todd C. Bailey

Continued improvements in SEM contour extraction capabilities have enabled calibrating more accurate OPC models for advanced technology nodes using a hybrid approach, combining CDs for 1D structures and full contour measurements for more complex 2D patterns. Previous work has addressed various components of contour modeling including alignment, edge detection, CD to contour consistency, and image parameter space coverage. This study covers weighting strategies for CDs compared to contours. Additionally the total number of structures in a sample plan can be reduced by incorporating contours for model calibration due to the increased number of evaluation points they provide. Repeated measurements of the same structure at separate locations are used to extract SEM contours across several instances. The average measurements from these locations can then be used for OPC model calibration. Using 14nm process data, it is shown that including more contours in hybrid OPC model calibration leads to improved model verification. Within an appropriate range, higher weight on the contour patterns leads to improved model verification on measurement sites unseen by the calibration set. Calibrating a model with fewer contour structures, but at higher weight shows improvement over standard CD only model calibration.


Proceedings of SPIE | 2015

Methodology for determining CD-SEM measurement condition of sub-20nm resist patterns for 0.33NA EUV lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Junichi Tanaka; Todd C. Bailey

A novel methodology was established for determining critical dimension scanning electron microscope (CD-SEM) optimum measurement condition of sub-20 nm resist patterns for 0.33NA EUV lithography yielding both small shrinkage and high precision. To investigate dependency of resist shrinkage on pattern size and electron beam irradiation condition, shrinkage of 18, 32, and 45 nm EUV resist patterns was measured over a wide range of beam conditions. A shrinkage trend similar to that of ArF resist patterns was observed for 32 and 45 nm, but 18 nm pattern showed a different dependence on acceleration voltage. Conventional methodology developed for ArF resist pattern to predict shrinkage and precision using the Taguchi method was applied to EUV resist pattern to examine the extendibility of the method. Predicted shrinkage by Taguchi method for 32 and 45 nm patterns agreed with measurements. However, the prediction error increases considerably as the pattern size decreases from 32 to 18 nm because there is a significant interaction between acceleration voltage and irradiated electron dose in L18 array used in the Taguchi method. Thus, we proposed a new method that consists of separated prediction procedures of shrinkage and precision using both a shrinkage curve and the Taguchi method, respectively. The new method was applied to 18 nm EUV resist pattern, and the optimum measurement condition with shrinkage of 1.5 nm and precision of 0.12 nm was determined. Our new method is a versatile technique which is applicable not only to fine EUV resist pattern but also to ArF resist pattern.


Proceedings of SPIE | 2014

Hybrid OPC modeling with SEM contour technique for 10nm node process

Keiichiro Hitomi; Scott Halle; Marshal Miller; Ioana Graur; Nicole Saulnier; Derren Dunn; Nobuhiro Okai; Shoji Hotta; Atsuko Yamaguchi; Hitoshi Komuro; Toru Ishimoto; Shunsuke Koshihara; Yutaka Hojo

Hybrid OPC modeling is investigated using both CDs from 1D and simple 2D structures and contours extracted from complex 2D structures, which are obtained by a Critical Dimension-Scanning Electron Microscope (CD-SEM). Recent studies have addressed some of key issues needed for the implementation of contour extraction, including an edge detection algorithm consistent with conventional CD measurements, contour averaging and contour alignment. Firstly, pattern contours obtained from CD-SEM images were used to complement traditional site driven CD metrology for the calibration of OPC models for both metal and contact layers of 10 nm-node logic device, developed in Albany Nano-Tech. The accuracy of hybrid OPC model was compared with that of conventional OPC model, which was created with only CD data. Accuracy of the model, defined as total error root-mean-square (RMS), was improved by 23% with the use of hybrid OPC modeling for contact layer and 18% for metal layer, respectively. Pattern specific benefit of hybrid modeling was also examined. Resist shrink correction was applied to contours extracted from CD-SEM images in order to improve accuracy of the contours, and shrink corrected contours were used for OPC modeling. The accuracy of OPC model with shrink correction was compared with that without shrink correction, and total error RMS was decreased by 0.2nm (12%) with shrink correction technique. Variation of model accuracy among 8 modeling runs with different model calibration patterns was reduced by applying shrink correction. The shrink correction of contours can improve accuracy and stability of OPC model.


Proceedings of SPIE | 2014

Precise CD-SEM metrology of resist patterns at around 20 nm for 0.33NA EUV lithography

Nobuhiro Okai; Erin Lavigne; Keiichiro Hitomi; Scott Halle; Shoji Hotta; Shunsuke Koshihara; Karen Petrillo; Atsuko Yamaguchi; Junichi Tanaka; Todd C. Bailey

Evaluation of resist shrinkage and precision by critical dimension scanning electron microscope (CD-SEM) for EUV resist patterns at around 20 nm exposed by 0.33 NA EUV tool was conducted. To investigate interaction between EUV resist and electron beam, an accurate and fast measurement method of resist shrinkage was established. Our method can avoid saturation of shrinkage at large dose conditions which was a demerit in conventional method. By applying the new method, pattern size dependence of shrinkage was measured with various line and space (L/S) patterns down to 20 nm. The result shows that resist shrinkage of fine L/S EUV resist pattern largely depends on line width rather than space width. A well-known trade-off relationship between shrinkage and precision was observed for EUV resist pattern as well as ArF resist pattern. Shrinkage of 1.6 nm and precision of 0.13 nm for 18 nm EUV resist pattern were obtained at a typical CD-SEM condition. We also measured shrinkage and precision for a dense L/S pattern at various exposure focus and dose conditions using a FEM wafer to examine the impact of process variability. To investigate the influence of EUV shadowing effect, we measured them for both horizontal and vertical patterns at different slit locations in exposure field. No systematic change of shrinkage and precision was observed through exposure focus and dose in the process window across slit location for both horizontal and vertical L/S patterns.


Journal of Micro-nanolithography Mems and Moems | 2012

Dose-focus monitor technique using a critical-dimension scanning electron microscope and its application to local variation analysis

Shoji Hotta; Timothy A. Brunner; Scott Halle; Keiichiro Hitomi; Takeshi Kato; Atsuko Yamaguchi

Abstract. A dose-focus monitoring technique using a critical-dimension scanning electron microscope (CD-SEM) is studied for applications on product wafers. Our technique uses two target structures: one is a dense grating structure for dose determination, and the other is a relatively isolated line grating for focus determination. These targets are less than 6 μm, and they can be inserted across a product chip to monitor dose and focus variation in a chip. Monitoring precision is estimated to be on the order of 1% for dose and 10 nm for focus, and the technique can be applied to dose and focus monitoring on product wafers. The developed technique is used to analyze spatial correlation in dose and focus over a wide range of distances, using a mask with a multitude of these targets. The variation (3σ) of dose and focus difference between two monitor targets is examined for various separation distances, and the variation of focus difference increases from 10 to 25 nm as the separation distance increases from ∼20  μm to ∼10  mm. The variation of 10 nm observed at the shortest distance reflects focus monitoring precision, and focus variation sources such as wafer thickness variation come into play at longer distances.

Collaboration


Dive into the Keiichiro Hitomi's collaboration.

Researchain Logo
Decentralizing Knowledge