Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hirohito Anze is active.

Publication


Featured researches published by Hirohito Anze.


Japanese Journal of Applied Physics | 2007

High-Accuracy Proximity Effect Correction for Mask Writing

Takayuki Abe; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Susumu Oogi; Takashi Kamikubo; Seiichi Tsuchiya; Mitsuko Shimizu; Kazuto Matsuki; Hideo Inoue; Toru Tojo; Tadahiro Takigawa

A high-accuracy proximity effect correction method for high-precision masks has been developed to satisfy current and future requirements. In this paper, we explain the primary features of this method and the theories on which it is based. The developed formula for obtaining the optimum correction dose is expressed in the form of either iterations or an infinite series of functions. The advantage of this formula is that it quickly converges to the sought value, bringing about high-accuracy proximity effect correction with a high calculation speed. A coarse graining method (covering pattern density and representative figure methods) for reducing calculation time is explained. This method has been adopted for an EX-11 series and has been used for mask writing from the 180 nm design rule onward.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

Takashi Kamikubo; Takayuki Ohnishi; Shigehiro Hara; Hirohito Anze; Yoshiaki Hattori; Shuichi Tamamushi; Shufeng Bai; Jen-Shiang Wang; Rafael Howell; George Chen; Jiangwei Li; Jun Tao; Jim Wiley; Terunobu Kurosawa; Yasuko Saito; Tadahiro Takigawa

In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.


Japanese Journal of Applied Physics | 2007

Global Critical Dimension Correction: I. Fogging Effect Correction

Takayuki Abe; Junichi Suzuki; Jun Yashima; Tomohiro Iijima; Susumu Oogi; Hirohito Anze; Yoshiaki Onimaru; Hideyuki Tsurumaki; Seiichi Tsuchiya; Yoshiaki Hattori

Present LSI technology requires very strict critical dimension (CD) control on masks. An electron beam (EB) mask writer has been widely used but is known to induce a fogging effect that affects CD control. In this paper, a new formula for calculating the optimum dose required to correct both the fogging effect and the proximity effect is proposed. This formula is expressed as the product of the proximity effect and fogging effect correction terms. Features of this new formula are that (1) the fogging effect correction term includes the result of the proximity effect correction, and (2) the formula can provide an accurate value for the optimum dose required to correct both the proximity and fogging effects. Correction accuracy is evaluated under the conditions that the proximity effect parameter η and the fogging effect parameter θ are 0.8 and 0.1, respectively. It is found that when using a conventional fogging effect correction method, a significant correction error (1.7% in energy and 3.4 nm in dimension) appears because the method does not use the results of the proximity effect correction. On the other hand, our method can suppress the correction error to less than 0.15% in energy (0.29 nm in dimension).


Photomask and Next-Generation Lithography Mask Technology XXI | 2014

EBM-9000: EB mask writer for product mask fabrication of 16nm half-pitch generation and beyond

Hidekazu Takekoshi; Takahito Nakayama; Kenichi Saito; Hiroyoshi Ando; Hideo Inoue; Noriaki Nakayamada; Takashi Kamikubo; Rieko Nishimura; Yoshinori Kojima; Jun Yashima; Akihito Anpo; Seiichi Nakazawa; Tomohiro Iijima; Kenji Ohtoshi; Hirohito Anze; Victor Katsap; Steven D. Golladay; Rodney A. Kendall

EBM-9000 equipped with new features such as new electron optics, high current density (800A/cm2) and high speed deflection control has been developed for the 11nm technology node(tn) (half pitch (hp) 16nm). Also in parallel of aggressive introduction of new technologies, EBM-9000 inherits the 50kV variable shaped electron beam / vector scan architecture, continuous stage motion and VSB-12 data format handling from the preceding EBM series to maintain high reliability accepted by many customers. This paper will report our technical challenges and results obtained through the development.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron-beam mask writer EBM-6000 for 45 nm HP node

Jun Yashima; Kenji Ohtoshi; Noriaki Nakayamada; Hirohito Anze; Takehiko Katsumata; Tomohiro Iijima; Rieko Nishimura; Syuuichiro Fukutome; Nobuo Miyamoto; Seiji Wake; Yusuke Sakai; Shinji Sakamoto; Shigehiro Hara; Hitoshi Higurashi; Kiyoshi Hattori; Kenichi Saito; Rodney A. Kendall; Shuichi Tamamushi

In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control, electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly are offered as well. In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to solidly prove the viability of EBM-6000 for 45 nm HP node.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Study of heating effect on CAR in electron beam mask writing

Takashi Kamikubo; Makoto Hiramoto; Jun Yashima; Masazumi Takahashi; Rieko Nishimura; Takehiko Katsumata; Hirohito Anze; Hitoshi Sunaoshi; Shuichi Tamamushi; Munehiro Ogasawara

Heating effect was evaluated for EBM-6000 which is operated at high current density of 70A/cm2 and acceleration voltage of 50kV. FEP171 as widely used for current productions and lower sensitivity resists are tested. Lower sensitivity resist is one of key items to achieve highly accurate Local critical dimension uniformity (LCDU) because of shot noise reduction. CD variations in experiment are compared with simulated temperature changes induced by heating effect. Then, the ratio, ΔCD/ΔT, is found mostly constant for every resist, 0.1 nm/C°. Writing conditions are estimated to meet CDU spec of hp45 generation for a worst case pattern, i.e. 100% density pattern. For FEP171, the maximum shot size of 0.85 μm shot size at 2pass writing mode is sufficient. It should be reduced to 0.5 μm at 2pass writing mode for every lower sensitivity resist. When 4pass writing mode is used, the maximum shot size of 0.85 μm is available. Writing conditions and writing time for realistic patterns are also discussed.


Photomask and Next-Generation Lithography Mask Technology XVIII | 2011

Advancing the charging effect correction with time-dependent discharging model

Noriaki Nakayamada; Takashi Kamikubo; Hirohito Anze; Shuichi Tamamushi

A new method to describe the resist surface charging effect more accurately is proposed. In our previous work, we handled only the static portion of the surface charging and it was applicable only to a limited situation. The scope of this paper is to add a new model to handle the dynamic, discharging behavior on top of the existing static model to make the whole charging model closer to what is really happening on the plate during the exposure. With the new model, the correction accuracy has been improved not only for the equilibrium state but also for the state when the tool is dynamically writing the main pattern. We conclude that our Charging Effect Correction (CEC) was advanced by this new model to become completely production ready.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Key improvement Schemes of Accuracies in EB Mask Writing for Double Patterning Lithography

Hitoshi Sunaoshi; Takashi Kamikubo; Rieko Nishimura; Kaoru Tsuruta; Takehiko Katsumata; Takayuki Ohnishi; Hirohito Anze; Jun Takamatsu; Shusuke Yoshitake; Shuichi Tamamushi

Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor. While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity. However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare Technologys EB mask writers.


international microprocesses and nanotechnology conference | 1998

High-Speed Convolution System For Real-Time Proximity Effect Correction

Susumu Oogi; Takiji Ishimura; Takashi Kamikubo; Mitsuko Shimizu; Yoshiaki Hattori; Tomohiro Iijima; Hirohito Anze; Takayuki Abe; Toru Tojo; Tadahiro Takigawa

In order to realize a real-time proximity effect correction system, a high-speed, highly accurate hardware system for convolution calculation has been developed. The representative figure method is used in the system. Pipeline architecture and parallel processing architecture are also used. The calculation speed of the system is 500 s for a writing region of 10 ×10 cm. The optimum correction dose has been evaluated using the output data of the convolution system. The error in the correction dose caused by our system is found to be 0.5% at most. These results suggest that a real-time proximity effect correction system can be realized, which can be used for making reticles of Gbit-class dynamic ramdom access memories (DRAMs).

Collaboration


Dive into the Hirohito Anze's collaboration.

Researchain Logo
Decentralizing Knowledge