Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shigehiro Hara is active.

Publication


Featured researches published by Shigehiro Hara.


Japanese Journal of Applied Physics | 1993

Computer Aided Design Software for Designing Phase-Shifting Masks

Kazuko Ooi; Shigehiro Hara; Kiyomi Koyama

Computer aided design software has been developed in order to improve the efficiency of completing the design of Levenson-type phase-shifting masks. The software assists designers with the functions of automatic shifter arrangement and verification. In addition, to cope with contradictory spots where Levenson-type alternating phase shifting is impossible, the functions of extracting the shapes causing contradiction and of phase assignment with priority are also offered. The principle of shifter arrangement is that when the distance between clear mask areas is less than a certain threshold, a shifter is positioned into one of the clear areas. According to the principle, the number of pairs whose mutual phases have to be opposite decreases. and thus the degree of freedom to arrange shifters increases. The software was developed on a workstation. and its performance was evaluated using several layouts of 64Mbit dynamic random access memory. The average processing time for layouts with 300-400 shapes was about 1 min.


Japanese Journal of Applied Physics | 1994

Character Projection EB Data Conversion System Combined with Throughput Analyzer

Shigehiro Hara; Shunko Magoshi; Kiyomi Koyama

An electron beam (EB) data conversion system for a character projection (CP) writing method has been constructed. The system has been developed based on an EB data conversion system for a variable-shaped beam (VSB), and a formatting module for CP writing was added. In addition, several functions have been developed to analyze CP writing patterns, and combined with the system. The functions aim to select the CP writing patterns that best reduce writing time and to achieve the highest throughput. The new system was applied to a real LSI pattern to test the conversion function and to estimate the conversion speed. A model pattern of a 1 Gbit dynamic random access memory was successfully converted to EB data format. The conversion processing time was less than 14 min for each layer.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Advanced electron-beam writing system EX-11 for next-generation mask fabrication

Toru Tojo; Ryoji Yoshikawa; Yoji Ogawa; Shuichi Tamamushi; Yoshiaki Hattori; Souji Koikari; Hideo Kusakabe; Takayuki Abe; Munehiro Ogasawara; Kiminobu Akeno; Hirohito Anze; Kiyoshi Hattori; Ryoichi Hirano; Shusuke Yoshitake; Tomohiro Iijima; Kenji Ohtoshi; Kazuto Matsuki; Naoharu Shimomura; Noboru Yamada; Hitoshi Higurashi; Noriaki Nakayamada; Yuuji Fukudome; Shigehiro Hara; Eiji Murakami; Takashi Kamikubo; Yasuo Suzuki; Susumu Oogi; Mitsuko Shimizu; Shinsuke Nishimura; Hideyuki Tsurumaki

Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Mask process correction (MPC) modeling and its application to EUV mask for electron beam mask writer EBM-7000

Takashi Kamikubo; Takayuki Ohnishi; Shigehiro Hara; Hirohito Anze; Yoshiaki Hattori; Shuichi Tamamushi; Shufeng Bai; Jen-Shiang Wang; Rafael Howell; George Chen; Jiangwei Li; Jun Tao; Jim Wiley; Terunobu Kurosawa; Yasuko Saito; Tadahiro Takigawa

In electron beam writing on EUV mask, it has been reported that CD linearity does not show simple signatures as observed with conventional COG (Cr on Glass) masks because they are caused by scattered electrons form EUV mask itself which comprises stacked heavy metals and thick multi-layers. To resolve this issue, Mask Process Correction (MPC) will be ideally applicable. Every pattern is reshaped in MPC. Therefore, the number of shots would not increase and writing time will be kept within reasonable range. In this paper, MPC is extended to modeling for correction of CD linearity errors on EUV mask. And its effectiveness is verified with simulations and experiments through actual writing test.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Electron-beam mask writer EBM-6000 for 45 nm HP node

Jun Yashima; Kenji Ohtoshi; Noriaki Nakayamada; Hirohito Anze; Takehiko Katsumata; Tomohiro Iijima; Rieko Nishimura; Syuuichiro Fukutome; Nobuo Miyamoto; Seiji Wake; Yusuke Sakai; Shinji Sakamoto; Shigehiro Hara; Hitoshi Higurashi; Kiyoshi Hattori; Kenichi Saito; Rodney A. Kendall; Shuichi Tamamushi

In order to comply with the demanding technology requirements for 45 nm half pitch (HP) node (32 nm technology node), Nuflare Technology Inc. (NFT) has developed Electron-beam mask writing equipment, EBM-6000, with increased current density (70A/cm2), while its other primary features basically remain unchanged, namely 50 kV acceleration voltage, Variable Shaped Beam (VSB)/vector scan, like its predecessors [1-5]. In addition, new functionalities and capabilities such as astigmatism correction in subfield, optimized variable stage speed control, electron gun with multiple cathodes (Turret electron gun), and optimized data handling system have been employed to improve writing accuracy, throughput, and up-time. VSB-12 is the standard input data format for EBM-6000, and as optional features to be selected by users, direct input function for VSB-11 and CREF-flatpoly are offered as well. In this paper, the new features and capabilities of EBM-6000 together with supporting technologies are reported to solidly prove the viability of EBM-6000 for 45 nm HP node.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Performance and stability of mask process correction for EBM-7000

Yasuko Saito; George Chen; Jen-Shiang Wang; Shufeng Bai; Rafael Howell; Jiangwei Li; Jun Tao; Doug VanDenBroeke; Jim Wiley; Tadahiro Takigawa; Takayuki Ohnishi; Takashi Kamikubo; Shigehiro Hara; Hirohito Anze; Yoshiaki Hattori; Shuichi Tamamushi

In order to support complex optical masks today and EUV masks in the near future, it is critical to correct mask patterning errors with a magnitude of up to 20nm over a range of 2000nm at mask scale caused by short range mask process proximity effects. A new mask process correction technology, MPC+, has been developed to achieve the target requirements for the next generation node. In this paper, the accuracy and throughput performance of MPC+ technology is evaluated using the most advanced mask writing tool, the EBM-70001), and high quality mask metrology . The accuracy of MPC+ is achieved by using a new comprehensive mask model. The results of through-pitch and through-linewidth linearity curves and error statistics for multiple pattern layouts (including both 1D and 2D patterns) are demonstrated and show post-correction accuracy of 2.34nm 3σ for through-pitch/through-linewidth linearity. Implementing faster mask model simulation and more efficient correction recipes; full mask area (100cm2) processing run time is less than 7 hours for 32nm half-pitch technology node. From these results, it can be concluded that MPC+ with its higher precision and speed is a practical technology for the 32nm node and future technology generations, including EUV, when used with advance mask writing processes like the EBM-7000.


Symposium on Photomask and X-Ray Mask Technology | 1996

Pattern-data preparation method to enhance high-throughput mask fabrication in variable-shaped e-beam writing system

Shigehiro Hara; Eiji Murakami; Shunko Magoshi; Kiyomi Koyama; Hirohito Anze; Yoji Ogawa; A. Kabeya; S. Ooki; Tamaki Saito; T. Fujii; Shinji Sakamoto; Hiromi Suzuki; Mitsuhiro Yano; Sadakazu Watanabe

We have developed a new method of preparing pattern data to increase throughput of an EB writing system. The main idea is to expand cells smaller than a threshold size to the corresponding upper level cells during hierarchical shape data operations, which leads to reduction of the number of subfields and shots in our EB writing system. The cell expansions, however, could cause increase in the data volume and data conversion time as a result of destroying the hierarchy of CAD data. Therefore, we have introduced an additional rule, that is, not to expand cell arrays which have more elements than a threshold number. The new data conversion processor, which adopts the above-mentioned cell expansion algorithm, has been applied to a 64Mbit and a 256Mbit DRAM. The new module was applied to three layers, that is, the trench layer, the gate poly layer and metal layer of each DRAM. As a result, we found that the number of subfields and the number of shots were reduced by about 60% and 35%, respectively, for the average of 6 layers. Resulting throughput was evaluated as 1.8 times for the average of 6 layers. Performance change in the conversion processor has been examined in terms of data volume and data conversion time, and is discussed in the paper.


Electron-Beam, X-Ray, EUV, and Ion-Beam Submicrometer Lithographies for Manufacturing VI | 1996

CD uniformity of photomasks written with high-voltage variable-shaped e beam

Noriaki Nakayamada; Shigehiro Hara; Toshiyuki Magoshi; Hideaki Sakurai; Takayuki Abe; Iwao Higashikawa

The critical dimension uniformity required in the fabrication of photomasks for 1 gigabit DRAMs will be more stringent that 20 nm in terms of 3 sigma. High-voltage variable-shaped e-beam (VSB) writing is advantageous because of its high resolution, linewidth stability, and throughput performance. However, stitching errors in VSB writing have been a critical problem in the fabrication of advanced photomasks. In this paper, an improved method to calibrate the size of a VSB shot and reduce shot stitching errors is proposed. The accuracy of the calibration method depends on that of the linewidth measurement system, and shot-size calibration with an accuracy of +/- 10 nm can be achieved using existing measurement systems. The positioning accuracy of VSB shots was enhanced by a multiple pass exposure scheme. With these procedures applied to a 50 kV VSB system, the linewidth variation of a photomask in a local area such as a square region of 200 micrometers X 200 micrometers was reduced to less than 20 nm.


Archive | 1993

Method for designing phase-shifting masks with automatization capability

Kazuko Oi; Shigehiro Hara; Kiyomi Koyama; Koji Hashimoto; Shinichi Ito; Katsuya Okumura


Archive | 1998

Method for generating exposure data for lithographic apparatus

Eiji Murakami; Hitoshi Higurashi; Shigehiro Hara; Kiyomi Koyama; Takayuki Abe

Collaboration


Dive into the Shigehiro Hara's collaboration.

Researchain Logo
Decentralizing Knowledge